发明申请
US20050241672A1 Extraction of impurities in a semiconductor process with a supercritical fluid 审中-公开
用超临界流体萃取半导体工艺中的杂质

Extraction of impurities in a semiconductor process with a supercritical fluid
摘要:
A method comprises extracting impurities from one or more materials in a semiconductor device via treatment with a supercritical fluid (SCF). The SCF may comprise a solvent and one or more co-solvents. Solvents may comprise 1-hexanol, 1-propanol, 2-propanol, acetone, ammonia, argon, carbon dioxide, chlorotrifluoromethane, cyclohexane, dichlorodifluoromethane, ethane, ethyl alcohol, ethylene, methane, methanol, n-butane, n-hexane, nitrous oxide, n-pentane, propane, propylene, toluene, trichlorofluoromethane, trichloromethane, water, or combinations thereof.
信息查询
0/0