A module incorporating electronic component includes a substrate, a wiring pattern located on at least one surface of the substrate, at least one electronic component electrically bonded to the wiring pattern, and bonded to the substrate, and a sealing resin arranged to cover the surface of the substrate including the bonded electronic component. The wiring pattern includes a plurality of land electrodes, and electrically bonded to the electronic component or a via conductor, and a wiring electrode arranged to connect the land electrodes, and an insulating resin is disposed on the wiring electrode except for a boundary between the land electrode and the wiring electrode, so as to cross at least one boundary between the substrate and the wiring electrode such that an adhesion strength between the insulating resin and the sealing resin is higher than an adhesion strength between the insulating resin and the wiring pattern.
The present invention is to provide a cascade data storage device comprising at least an integrated circuit module and a connecting structure wherein the integrated circuit module has a substrate, at least an electronic device, a package body and a USB metal contact and the connecting structure has at least a male connector and at least a female connector; the connecting structure is installed on a lateral edge of the package body and allows the male connector to couple with the female connector and then the integrated circuit modules freely connected, which are stacked high enough and keep the USB metal contact electrically contact with a USB port of a computer.
Cooling airflow through an information handling system is redirected at positions of a motherboard having an unpopulated processing component towards positions of the motherboard having processing component. For example, a shroud shaped as a nozzle couples to a heat sink connector of the motherboard to cover an unpopulated CPU socket. The shroud has a nozzle-shaped channel with an inlet accepting cooling airflow and an outlet exhausting the cooling airflow towards a processing component. For instance, the inlet is proximate a cooling fan and the outlet directs the airflow from the cooling fan towards a heat sink associated with RAM populated on the motherboard.
A protective cover structure includes a main body with an accepting space to form a frame structure. A lid engages with the main body at the bottom of the frame through corresponding buckling elements. A groove is respectively disposed on the peripheries of upper and lower surfaces of the main body, where the groove of the lower surface is used for coupling and securing a decorative bottom plate, and the groove of the upper surface couples with an annular decorative body. In use, a user first removes the lid from the main body, inserts an electronic device, and then tightly covers the lid onto the main body, thereby securely receiving the electronic device in the main body to protect the electronic device, and providing decorative effects through the configurations of the decorative bottom plate and decorative body.
A portable terminal includes a first metallic layer formed of a metallic material and having a through hole on one surface thereof, a second metallic layer laminated on another surface of the first metallic layer and formed of a different material from the first metallic layer, and an exposed portion protruding from one surface of the second metallic layer and arranged at the through hole so as to be exposed to outside of the first metallic layer via the through hole. Under this configuration, a unique pattern may be implemented on the appearance. This may implement a personalized design and a sophisticated appearance.
A memo board, including: a front housing including a first opening; a display module disposed behind a front side of the front housing, a front panel of the display module being exposed through the first opening of the front housing; a circuit board disposed behind the front side of the front housing, the circuit board including a memory and a controller; a back housing configured to receive the circuit board, the display module and the front housing through an opening at a front side of the back housing, the back housing including a mounting connector at a back side of the back housing; and a screen disposed at the front side of the front housing, the front panel of the display module being viewable through the screen, the screen being secured to and removed from the front housing by first and second fasteners.
Disclosed are an aluminum electrolytic capacitor having low impedance properties and a long service life, and an electrolytic solution which enables to give such capacitor. The electrolytic solution contains a solvent containing water, a phosphorus oxoacid ion-generating compound which can generate a phosphorus oxoacid ion in an aqueous solution, and a chelating agent which can coordinate with aluminum to form an aqueous aluminum chelate complex. The electrolytic solution further contains a compound selected from the group consisting of azelaic acid and an azelaic acid salt, and a compound selected from the group consisting of formic acid, a formic acid salt, adipic acid, an adipic acid salt, glutaric acid and a glutaric acid salt. The content of azelaic acid and/or the azelaic acid salt is at least 0.03 moles per kg of the solvent. When the electrolytic solution is used in an electrolytic capacitor which utilizes an anode having an aluminum oxide film containing phosphorus in an amount of 30 to 150 mg per unit CV product in terms of phosphoric acid, the service life of the capacitor is remarkably prolonged.
In the formation of a fiber reinforced composite material component produced by a resin transfer infusion process such as to have an electrically conductive surface layer for lightning strike protection wherein the component is to be drilled and countersunk to receive an electrically conductive fastener, a localized part of the electrically conductive mesh is deformed so as to be relocated in the countersunk recess thus to ensure electrical contact with the fastener when inserted and to eliminate any gap between the mesh and the fastener, thus determining the electrical conductive integrity of the mesh to avoid arcing in the event of lightning strike attachment.
A protective device including a substrate, a conductive section and a first auxiliary medium is provided. The conductive section is supported by the substrate, wherein the conductive section comprises a metal element electrically connected between first and second electrodes. The metal element serves as a sacrificial structure having a melting point lower than that of the first and second electrodes. The first auxiliary medium is disposed between the metal element and the substrate, wherein the first auxiliary medium has a melting point lower than that of the metal element. The first auxiliary medium facilitates breaking of the metal element upon melting.
An overcurrent detection circuit for a DC-to-DC power converter is disclosed. The overcurrent detection circuit includes a dynamic reference unit for outputting a dynamic reference signal, a load current measurement unit for measuring a load current of the DC-to-DC power converter to output a measurement signal, and a first comparator including a positive input terminal coupled to the load current measurement unit, a negative input terminal coupled to the dynamic reference unit and an output terminal coupled to an overcurrent protection device for outputting an overcurrent protection signal to activate the overcurrent protection device when the measurement signal is greater than the dynamic reference signal.
An apparatus and associated method are generally directed to a magnetic sensor constructed with an anisotropic liner capable of screening magnetic flux. Various embodiments can have a data read element positioned on an air bearing surface adjacent a magnetic shield which is at least partially lined with an in-plane anisotropy layer.
Various embodiments concern a dual stage actuation suspension that comprises a loadbeam having a load point projection. The suspension further comprises a gimbal assembly having a point of contact that is in contact with the load point projection such that the gimbal assembly can gimbal about the load point projection. The gimbal assembly is cantilevered from the loadbeam and has an axis of rotation aligned with the load point projection and the point of contact. The suspension further comprises a pair of motors mounted on the gimbal assembly and positioned proximal of the point of contact. The pair of motors is mounted between a tongue and a pair of spring arms to rotate the tongue about the point of contact and the load point projection. The loadbeam further comprises a void into which the pair of motors extends.
An interleaved conductor structure for electrically connecting the read/write electronics to a read/write head in a hard disk drive is provided. The interleaved conductor structure may allow for an increased characteristic-impedance range, greater interference shielding and a reduction of signal loss that is contributed by a lossy conductive substrate. The electrical traces may have different widths, be offset, or even wrap around each other at the via connections.
A spin-torque oscillator with antiferromagnetically-coupled free layers has at least one of the free layers with increased magnetic damping. The Gilbert magnetic damping parameter (α) is at least 0.05. The damped free layer may contain as a dopant one or more damping elements selected from the group consisting of Pt, Pd and the 15 lanthanide elements. The free layer damping may also be increased by a damping layer adjacent the free layer. One type of damping layer may be an antiferromagnetic material, like a Mn alloy. As a modification to the antiferromagnetic damping layer, a bilayer damping layer may be formed of the antiferromagnetic layer and a nonmagnetic metal electrically conductive separation layer between the free layer and the antiferromagnetic layer. Another type of damping layer may be one formed of one or more of the elements selected from Pt, Pd and the lanthanides.
A write element for magnetic recording includes a main pole and a shield. The main pole has first and second sides with respect to a down-track direction. The shield at least partially surrounds the main pole with a continuously concave inner sidewall. The angle between the inner sidewall of the shield and the direction of motion of the write element is greater than the angle between the sides of the main pole and the direction of motion.
A disk clamp for clamping a plurality of disks within a disk drive has a single fastening hole located at its symmetrical center sized to pass the shaft of a screw having a head diameter larger than the fastening hole. The screw fastens the disk clamp to a motor hub supporting the plurality of disks. The disk clamp has a moat around the fastening hole, at a maximum diameter that is smaller than the head diameter of the head on the fastening screw. The moat may be circular, have spike trenches angled toward the fastening hole, or be spiral. The diameter of the spiral moat decreases in a clockwise or counterclockwise direction toward the fastening hole. The midsection of the disk which the screw head covers is biased at a negative angle toward the fastening hole forcing particles generated during assembly toward the fastening hole of the disk clamp.
A three-dimensional imaging system uses a single primary optical lens along with various configurations of apertures, refocusing facilities, and the like to obtain three offset optical channels each of which can be separately captured with an optical sensor.
An image capturing optical lens system includes, in order from an object side to an image side, a first lens element, a second lens element, a third lens element, a fourth lens element and a fifth lens element. The first lens element with positive refractive power has a convex object-side surface. The second lens element has negative refractive power. The third lens element with positive refractive power has a convex image-side surface. The fourth lens element with refractive power has a concave object-side surface and a convex image-side surface. The fifth lens element with refractive power is made of plastic material, and has a concave image-side surface, wherein the fifth lens element has at least one inflection point formed on at least one of the surfaces thereof. The surfaces of the third lens element, fourth lens element and fifth lens element are aspheric.
A zoom lens system comprising: a first lens unit having positive optical power; a second lens unit having positive optical power; and at least one subsequent lens unit, wherein the zoom lens system has an image blur compensating lens unit which moves in a direction perpendicular to an optical axis, at least the first lens unit moves along the optical axis at the time of zooming from a wide-angle limit to a telephoto limit, the second lens unit or a third lens unit which is located closest to the object side in the at least one subsequent lens unit moves along the optical axis at the time of focusing from an infinity in-focus condition to a close-object in-focus condition, and the condition: 0.10<|m|/(fT−fW)<0.40 (m: the maximum value among the amounts of movement of each subsequent lens unit at the time of zooming from a wide-angle limit to a telephoto limit, fT, fW: focal lengths of the entire system at a telephoto limit, at a wide-angle limit) is satisfied; an interchangeable lens apparatus; and a camera system are provided.
A grating structure and a solar cell assembly. In one aspect, the grating structure suppresses the zero order transmission to near 0%. In another aspect, the solar cell assembly has improved absorption due to coupling with a grating structure.
A multi-layered micro lens sheet for a three-dimensional look includes a lens array layer in which first convex lenses and second convex lenses with different shapes are alternately arranged in longitudinal and transverse directions; a focal distance layer formed under the lens array layer; and a three-dimensional layer formed under the focal distance layer.
The present invention embraces an amplifying optical fiber having a central core adapted to convey and amplify an optical signal and a cladding that surrounds the central core to confine the optical signal conveyed in the central core. The central core is formed of a core matrix in which nanoparticles are present. The nanoparticles themselves include a nanoparticle matrix and rare-earth-dopant elements. The core matrix may also include one or more additional dopants (i.e., in addition to nanoparticles). The amplifying optical fiber possesses a small numerical aperture and is suitable for use in high-pump-power applications without a degraded gain shape.
An electrowetting display device includes an electrowetting display panel and an illumination unit. The electrowetting display panel includes two or more different optical color-converting liquid layers and a plurality of light-shielding liquid layers. The two or more different optical color-converting liquid layers are able to convert the light source generated by the illumination unit into light beams having two or more different colors of desired grey scales. The light-shielding liquid layers can be driven to change the transmittance of display regions so as to implement switch between transparent display mode, non-transparent display mode and semi-transparent display mode.
Disclosed are document imaging systems and methods which provide cleaning and cooling of various components associated with an image scanning system. According to one exemplary embodiment of this disclosure, provided is a document imaging system including a flexible, accordion style, duct which draws air through a vacuum slot between a light source and optical sensor associated with the scanning system. The resulting air flow provides cleaning of the imaging platen and provides cooling/cleaning of the light source and optical sensor.
An image reading apparatus to read an image formed on a document sheet is provided. The image reading apparatus includes a sheet conveyer and an image reader, which is controlled by a controller and reads the image formed on the document sheet. The sheet conveyer includes movable parts, which are movably supported by a first supporting member. The image reader is supported by a second supporting member, which is detachably attached to the first supporting member. A cable with a connector connects the controller and the image reader with each other via the connector. The second supporting member is tied to the first supporting member by the cable when the cable connects the controller and the image reader via the connector. The second supporting member is releasable from the first supporting member when the connector is decoupled.
According to one embodiment, the image processing apparatus includes a printing control unit, an image reading unit, an extracting unit, a difference image extracting unit, and a determination unit. The printing control unit controls printing of a plurality of pages on one sheet of paper according to a print setting information which indicates a printing form, and printing of a code indicating the print setting information on the paper. The image reading unit read the paper. The extracting unit extracts the code from the read image. The difference image extracting unit extracts a difference image between the printed image and the read image.
A method for providing a double layer GlossMark™ image includes providing a first layer text string for generating a patternink. The method further includes providing a second layer text string through the patternink. The first layer text string is visible as gloss variation between first character and background fields and the second layer text string is visible as a color difference between second character and background fields.
The ratio between first reference data which is acquired by scanning the surface of a white member in a state where the size of a gap is substantially equal to that when a document is scanned and second reference data which is acquired by scanning the surface of the white member in a state where the size of the gap is smaller than that when the document is scanned is calculated as a reference data ratio, and the reference data ratio is stored. In generating shading data for correcting image data of each document, the reference data ratio being stored is multiplied by third reference data which is acquired by scanning the surface of the white member in a state where the size of the gap is substantially equal to that when the document is scanned, thereby generating shading data.
A chart simulating image is displayed, which simulates the layout of color patches on a color chart. Color bars, which match the tendency of color changes depending on the layout of the color patches, also are displayed together with the chart simulating image. Mark images, which are indicative of a color presentation range according to the color patches in a color space represented by the gradation images, also are displayed together with the color bars.
An image formation control device is provided with a control unit. The control unit controls generation of information for forming a transparent image on the basis of settings that include at least a superimposition region and a superimposition sequence, when plurality of images which include the transparent image and a colored image are superimposed and synthesized.
An apparatus includes, in a case of performing rendering based on print data in which a plurality of objects for which a clip is not set are defined, and rendering an object on a predetermined scan line, which is a scan line subsequent to the scan line whose edge information has undergone edge processing, an update unit configured to read edge information about a predetermined page clip edge on the predetermined scan line, and set a predetermined clip count corresponding to the predetermined page clip edge to valid, and set a clip count corresponding to the different page clip edge to valid, and a rendering unit configured to render an object corresponding to both page clip edges after the predetermined clip count and the different page clip edge are set to valid.
In a method for printing a recording medium, an object type of image elements to be printed is determined for a corresponding print page using digital data. In generation of a bitmap for generation of a latent image for a first print process or a second print process, dependent on the object type image points are adapted in a direction of at least one main axis corresponding to an expected shrinkage. The recording medium is printed with a first toner image in a first print process. The first toner image is fixed on the recording medium in the fixing device where the recording medium shrinks along said at least one main axis. In the second print process, a second toner image is applied on the same recording medium in the direction of the main axis in a form compressed relative to that of the first print process.
An image processing apparatus has an internal memory section installed within an apparatus housing, a communication section for performing data communications with an external memory medium detachably attached to the apparatus housing, and a data writing section for writing data to the external memory medium. When the data writing section detects that the external memory medium is detached from the apparatus housing during writing the data to the external memory medium, the data writing section writes target data that is in a process of writing to the external memory medium to the internal memory section. When the data writing section detects that the external memory medium is attached again to the apparatus housing, the data writing section reads out the target data from the internal memory section, and writes the target data to the external memory medium.
An image forming apparatus includes a receiving unit, a first drawing unit, a second drawing unit, a comparing unit, and a notifying unit. The receiving unit receives image data to be printed. The first drawing unit creates print data by converting image data expressed in a first page description language into image data expressed in a second page description language in the case of checking whether or not output results obtained from different drawing schemes are different from each other. The second drawing unit creates print data directly from the image data expressed in the first page description language in the case of checking whether or not output results obtained from different drawing schemes are different from each other. The comparing unit compares, in units of pixels, two types of print data. The notifying unit performs notification of a comparison result generated by the comparing unit.
An electronic apparatus includes a communication unit to communicate with other electronic apparatuses and a license management apparatus; a license file management unit to obtain license files from the license management apparatus; a license information management unit to manage license information to determine licensed software programs; an apparatus management unit to manage apparatus-related information including component parts information; and a license distribution management unit. The license distribution management unit confirms that licensed software programs are present. When transferring licenses for the confirmed software programs, the license distribution management unit requests and obtains the license information and the apparatus-related information from the other electronic apparatuses. The license distribution management unit conducts a searching process to identify the electronic apparatuses to which licenses are allowed to be transferred based on the obtained license information and the apparatus-related information from the other electronic apparatuses, and transfers the licenses to the identified electronic apparatuses.
When a new application is filed in a workflow system, designation of image data to be attached to data included in the application is accepted. Then, when the image data to be attached to the data is insufficient, a scan ticket to be used when generating the image data to be attached to the data is generated. It is designated in the scan ticket to which data the generated image data is to be attached, and then the generated image data is actually attached to the data by a server apparatus according to such information.
An image forming apparatus includes an image reading portion for reading an image of an original to generate image data; a printing portion for printing the image on a medium according to the image data; a missing page determining portion for determining whether a missing page of the original occurs according to the image data generated with the image reading portion; and a discharge position control portion for discharging the medium corresponding to the missing page of the original to a specific discharge position different from that of other medium.
An information distribution system that includes an information reading apparatus reading out data distributed from an information medium and an information display apparatus having an information acquisition unit for acquiring the data distributed from said information reading apparatus, a display for displaying the data acquired by said information acquisition unit, and a display limitation unit for imposing display limitations on the data acquired by said information acquisition unit according to the state of connection between said information reading apparatus and the information display apparatus.
A computer-implemented system and method for processing and distribution of unstructured documents are disclosed. The apparatus and method in an example embodiment includes receiving an unstructured document; obtaining information from the document; storing portions of the information obtained from the document in a data set corresponding to the document; using a portion of the information obtained from the document to obtain an identifier of an enterprise record corresponding to the document; recording a specified behavior category for the document; and using the data set and the specified behavior category to dispatch the document to a recipient or an enterprise.
A system for providing an internet fax service has an engine to process a raw fax document, received for a user of the internet fax service, using digital character recognition to produce recognized text or an associated formatted text document. A conversion engine may convert the raw fax to native file format being one of a) word processor format, b) spreadsheet format, c) slide presentation format, or d) another format that can be read on a user interface. An internet server is to make the native file format document available to the user over an internet. A fax content processing unit is to perform keyword scanning of the recognized text, and then allows the user to search for stored faxes by keyword. Other embodiments are also described.
The present invention provides a high bandwidth image processing system, which generally includes an image processing unit having a set of servers that each have a universal operating system for receiving image data corresponding to a set of images, generating commands for processing the image data, and sending the images and the commands to an image processing unit (also having a universal operating system(s)) of the high bandwidth image processing system. Upon receipt, the image processing unit will recognize and interpret the commands, assign and/or schedule tasks for processing the image data to a set of (e.g., special) processing engines based on the commands, and return results and/or processed image data to the image interface unit.
An image forming apparatus includes a document reader, a storage for storing image data, an image output unit and a control unit. The control unit includes: a function setup controller for setting up the image output conditions of output images; a display image generator generating a display image based on image data, a display panel and a control-unit side controller having a function of displaying the display image based on the image data, in preview representation on the display panel. The function setup controller includes an image data sheaf forming function, a section break setup function, an image output condition storing function and an image output executing function.
A fork light barrier (1), provided with at least one first, one second, and one third light sensor (FT1 to FT3), which are arranged along an axis (X), wherein the second light sensor (FT2) lies between the first light sensor (FT1) and the third light sensor (FT3). The fork light barrier is advantageously incorporated into a position determining device and/or method.
A level sensor for measuring a position of a surface of a substrate includes a projection unit including an emitter for emitting a radiation beam towards the substrate and a projection grating including a measurement grating and an aperture, such that the radiation beam incident on the projection grating is divided into a measurement radiation beam and a capture radiation beam. The level sensor further includes a detection unit including a first and second measurement detector, a first and second capture detector, a detection grating, and a first and second optical unit. The detection grating includes a ruled grating with multiple rules, which direct radiation towards the first and second measurement detector via the first and second optical unit, and a capture element directing radiation towards the first and second capture detector via the first and second optical unit.
Provided is an optical displacement meter capable of accurately detecting a displacement of an object. A light-projecting part selectively irradiates a workpiece with first and second light whose polarization directions are different from each other. Reflected light from the workpiece is incident on a light-receiving element through a light-receiving lens. A waveform creating part creates first and second waveform data showing light-receiving amount distributions of the first and second light obtained by the light-receiving element. A waveform processing part calculates a ratio between mutually corresponding peaks in the first and second waveform data, and selects one peak from each of the first and second waveform data based on the calculated ratios, to detect a position of the peak.
This invention provides a system and method for laser profiling that simplifies the task of setting up and using laser profiling systems and associated applications by providing a profiler assembly that includes a predetermined arrangement of lens and spaced-apart laser in which the geometry and calibration parameters are predetermined. The profiler assembly is adapted to mount directly into the camera mount (typically threaded) of a conventional vision system camera. All components needed to perform the profiling task can be integrated into the profiler assembly. The integration of components in a single interchangeable/exchangeable assembly makes it straightforward to optimize/adapt the assembly for a particular profiling application.
A device for determining the surface topology and associated color of a structure, such as a teeth segment, includes a scanner for providing depth data for points along a two-dimensional array substantially orthogonal to the depth direction, and an image acquisition means for providing color data for each of the points of the array, while the spatial disposition of the device with respect to the structure is maintained substantially unchanged. A processor combines the color data and depth data for each point in the array, thereby providing a three-dimensional color virtual model of the surface of the structure. A corresponding method for determining the surface topology and associate color of a structure is also provided.
A biological sensing apparatus includes an excitation source configured to induce waves in a biological target, and an optical waveguide interferometer configured to sense the induced waves in the biological target. The optical waveguide interferometer includes a plurality of optical couplers and interconnecting optical fibers arranged to define a reference arm, a measurement arm, and a probe segment coupled to the reference arm and the measurement arm and having a probe segment end to be positioned adjacent the biological target. An optical path length adjustor is coupled to the reference arm. A controller cooperates with the optical path length adjustor and a first optical detector. The controller is configured to adjust an optical path length of the reference arm to maintain a constant relationship with respect to an optical path length of the measurement arm, and to generate biological target data based upon the first optical detector.
Light from light source means (a wavelength-variable laser) is applied to a surface of a hydrogen absorbing thin metal film of a hydrogen detecting surface plasmon resonator including a surface plasmon resonance enhancement structure formed by providing in the thin film an array of periodic holes having a shape that is not 90-degree rotational symmetric in the plane of the film surface, and transmitted light is detected with light detecting means (a photometer). Hydrogen is detected on the basis of a change in light transmission frequency characteristic caused by hydrogen absorption in the hydrogen detecting surface plasmon resonator. Optical hydrogen detection that is highly safe and unaffected by variations in the amount of light from the light source and stray light can be achieved.
The invention relates to a method for Doppler light detection and ranging (LIDAR) measurement of speeds. A laser beam is directed at the medium to be measured, and radiation which is then emitted by the medium is measured by a detector. In order to allow better control of the dynamic range of a direct reception Doppler LIDAR apparatus, a multiplicity of laser pulses can be transmitted per measurement, and a multiplicity of laser pulses can be received by the detector per measurement. A direct reception Doppler LIDAR apparatus can be suitable for carrying out the method.
A microlithographic projection exposure apparatus and method are provided. In some embodiments, a microlithographic projection exposure apparatus includes a light source to generate pulsed light, an illumination device, a projection objective, and at least one photoelastic modulator between the pulsed light source and the illumination device. The illumination device is configured to illuminate an object plane of the projection objective. The projection object projects an image of an object in the object plane of the projection objective to the image plane of the projection objective.
A lithography apparatus includes a projection system configured to project a radiation beam onto a substrate, a detector configured to inspect the substrate, and a substrate table configured to support the substrate and move the substrate relative to the projection system and the detector. The detector is arranged to inspect a portion of the substrate while the substrate is moved and before the portion is exposed to the radiation beam.
A drive unit drives a wafer table in a Y-axis direction based on a measurement value of an encoder that measures position information of the wafer table in the Y-axis direction and based on known correction information in accordance with position information of the wafer table in a non-measurement direction (e.g. Z, θz and θx directions) that is measured by an interferometer at the time of the measurement by the encoder. That is, the wafer table (a movable body) is driven in the Y-axis direction based on the measurement value of the encoder that has been corrected by correction information for correcting a measurement error of the encoder that is caused by a relative displacement of a head and a scale in the non-measurement direction. Accordingly, the movable body can be driven with high accuracy in a desired direction while measuring the position by the encoder, without being affected by the relative motion between the head and the scale in directions other than a direction to be measured (measurement direction).
A height difference under a sealant is reduced in a case where lines are present under the sealant.There is provided a substrate having an active matrix display circuit and peripheral driving circuits, a counter substrate having a counter electrode provided on the substrate in a face-to-face relationship therewith, a sealant provided between the substrate and the counter substrate such that it surrounds the active matrix display circuit and peripheral driving circuits, a liquid crystal material provided inside the sealant, a plurality of external connection lines provided on the substrate under the sealant with a resin inter-layer film interposed therebetween for electrically connecting the active matrix display circuit and peripheral driving circuits to circuits present outside the sealant and an adjustment layer provided in the same layer as the plurality of external connection lines.
A liquid crystal display unit including a pixel unit and a quasi-isotropic liquid crystal layer with Kerr effect is provided. The pixel unit includes sub-pixel units, each sub-pixel unit at least has a first transmissive region and a second transmissive region. Each sub-pixel unit includes a switch, a pixel electrode, and a common electrode. Each pixel electrode has first stripe patterns. The common electrode has second stripe patterns, wherein the first stripe patterns and the second stripe patterns are arranged alternately. In the first transmissive region, width of each first stripe pattern is L1, while a gap between each first stripe pattern and the corresponding second stripe pattern adjacent thereto is S1. In the second transmissive regions, width of each first stripe pattern is L2, while a gap between each first stripe pattern and the corresponding second stripe pattern adjacent thereto is S2, wherein L1≠L2 or S1≠S2 or L1/S1≠L2/S2.
A liquid crystal display device capable of preventing the occurrence of crack in a capacitor insulating film due to laser marking is provided. The liquid crystal display device includes pixels arranged in a matrix in a display region above a substrate, a thin film layer formed in a non-display region excluding the display region, and a transparent insulating film extending from the display region to the non-display region and formed on the thin film layer, in which the transparent insulating film includes at least an organic insulating film formed of an organic compound for planarizing a surface of the substrate, an inorganic insulating film which is a thin film formed on the organic insulating film and formed of an inorganic compound and formed with an opening extending to the organic insulating film in a region above the thin film layer, and a transparent electrode layer which is formed in the opening of the inorganic insulating film to cover the organic insulating film exposed from the opening of the inorganic insulating film.
A display apparatus is provided and includes a display panel, and a backlight unit supplying light from a rear of the display panel. The backlight unit includes a light source, a circuit board layer, a reflection layer, a pattern layer, and a vacant layer. The circuit board layer has the light source seated thereon. The reflection layer is formed on the circuit board layer to reflect light emitted from the light source. The pattern layer is positioned on a top surface of the light source. The vacant layer is formed between the reflection layer and the pattern layer.
According to one embodiment, a television apparatus includes a main body, a housing, an exothermic component, and a heat transfer mechanism. The main body has a display screen, and is used in a first orientation where one side of the display screen is positioned on the upper side and in a second orientation where another side is positioned on the upper side. The housing at least partially constitutes the outline of the main body. The exothermic component is housed in the housing. The heat transfer mechanism includes a heat receiving portion that receives heat from the exothermic component, a heat releasing portion that releases heat, and a heat transferring portion that houses a medium to transfer heat from the heat receiving portion to the heat releasing portion. In both the first orientation and the second orientation, the heat receiving portion is located lower than the heat releasing portion.
Embodiments for video content source resolution detection are provided. Embodiments enable systems and methods that measure video content source resolution and that provide image-by-image source scale factor measurements to picture quality (PQ) processing modules. With the source scale factor information, PQ processing modules can be adapted dynamically (on a picture-by-picture basis) according to the source scale factor information for better picture quality enhancement. In addition, embodiments provide source resolution detection that is minimally affected by video coding artifacts and superimposed content (e.g., graphics).
A minimized-thickness angular scanner of electromagnetic radiation includes an optical sandwich having a two-dimensional (2D) image source, and a scanning assembly that includes a first optic and a second optic, wherein at least one of the first optic and the second optic are oscillatorily translating. Translation of the optics provides for generation of a three-dimensional (3D) image, while the optical sandwich design provides for compact implementation of 3D displays.
A focus detection apparatus includes an image forming optical system, an image sensing unit which photoelectrically converts the object image, and a focus detection unit which detects the focus state of the imaging optical system from a pixel signal from the image sensing unit. The focus detection unit includes a first focus detection pixel which divides the exit pupil of the image forming optical system in the first direction and receives a light beam, and a second focus detection pixel which divides the exit pupil of the image forming optical system in the second direction different from the first direction, and receives a light beam. The first and second focus detection pixels are periodically arranged near the lattice points of a 2-dimensional lattice formed from first lattice lines set in a predetermined unit area of the image sensing unit, and second lattice lines crossing the first lattice lines.
A terminal and method of controlling the same are disclosed, by which a user's convenience for photography using a terminal can be further enhanced. The terminal includes a camera, a display, a user input having a camera shutter key for photography, and a controller controlling at least one of more menu icons displayed in a standby mode to be displayed by being overlapped with a preview image obtained for the photography by the camera. A see-through image seen through a transparent display can become a camera preview image.
A method of filtering an image filter is disclosed. The filter is provided for a digital camera including image sensors sensitive to light, a color filter placed over sensitive elements of the sensors and patterned according to a Bayer mosaic pattern layout and an interpolation algorithm joining together the digital information provided by differently colored adjacent pixels in said Bayer pattern. The filter is adaptive and includes a noise level computation block for operating directly on a said Bayer pattern data set of for each color channel thus removing noise while simultaneously preserving picture detail.
An image sensor for electronic cameras includes a plurality of light sensitive pixels arranged in rows and columns for generating exposure proportional signals, wherein the pixels of a respective column are coupled to at least one respective column read-out circuit via at least one respective column line, and wherein the respective column read-out circuit includes at least two column amplifiers which are connected in parallel, and includes a control device for controlling a read-out process of an image, wherein the read-out process for the respective pixel includes at least one read-out cycle. The gain factor of at least one of the two column amplifiers of the respective column read-out circuit is adjustable.
A zoom lens system comprising a first lens unit having positive optical power, a second lens unit having negative optical power, a third lens unit having positive optical power, a fourth lens unit having negative optical power, and a fifth lens unit having positive optical power, wherein the third lens unit includes at least one lens element having positive optical power and at least one lens element having negative optical power, at least the first to third lens units are moved along an optical axis in zooming so that air spaces between the respective lens units vary, thereby performing magnification change, a lens unit positioned on the image side relative to an aperture diaphragm is moved along the optical axis in focusing, and the conditions: 8.1
An image generating apparatus which generates a second image that is an image converted from a first image captured by an imaging apparatus placed to be oriented downward at an angle of depression with respect to a horizontal direction includes: a specifying unit which specifies a straight line included in the first image and passing through an intersection between an imaging plane and a vertical vector; and an image extension processing unit which generates the second image by sampling pixels of the first image along the specified straight line.
A camera capable of capturing still images and video and included in a portable media device can be controlled remotely using an accessory. The accessory can register with the PMD to automatically receive notifications whenever there is a change in the camera state. The camera states can include mode, operation status, and configuration settings. The accessory can send instructions to a camera application that interfaces with the camera to control the camera. The accessory can remotely activate the camera, change camera mode, and send instructions to operate the camera. The accessory and the PMD can concurrently control the camera. The PMD can send the captured still images and recorded video to the accessory for preview and receive instructions from the accessory on disposition of the still images and the video.
An image capture device of the present invention includes: a plurality of image sensors (310a, 310b); an optical element (light entrance section) 315 which is configured to allow incoming light to sequentially impinge on the respective image sensors (310a, 310b) according to a time-coded pattern during the exposure time; a camera shake detection section 345 for detecting camera shake which occurs during the exposure time to generate camera shake information that represents a trajectory of the camera shake; and an image processing section 220 for processing the plurality of images obtained by the plurality of image sensors (310a, 310b). The image processing section 220 includes a PSF determination section for determining, based on the camera shake information and the time-coded pattern, point spread functions that define blurs which are caused by the camera shake in the respective images, an image restoration section for restoring respective images using the point spread functions, and an image synthesizing section for synthesizing the plurality of restored images.
Image surveillance and reporting technology, in which an event that is indicative of potential physical activity within an area corresponding to a location of a camera unit is detected. In response to detection of the event, the camera unit is controlled to capture one or more images of the area corresponding to the location of the camera unit. The one or more images captured by the camera unit are analyzed to determine whether the one or more images include image data that is indicative of relevant physical activity within the area corresponding to the location of the camera unit. The one or more images are handled based on the determination of whether the one or more images include image data that is indicative of relevant physical activity within the area corresponding to the location of the camera unit.
A shape measuring device comprises a high speed image processor for extracting one target image and at least one reference image other than the target image from among the plurality of images, and for causing a digital operator to act on the target image and the reference image to calculate local degrees of focusing for each extracted pair of the target image and the reference image on a pixel by pixel basis for the target image; and a control computer for finding the surface height of the measurement object on the basis of the maximum relative movement position of each pixel from among a plurality of the local degrees of focusing calculated on a pixel by pixel basis.
A video monitoring system captures image frames of a patient in various positions. The captured image frames are analyzed by the system for changes in a patient's position or movement, frames in which the system detects one or both of patient movement and repositioning are retained. The system analyzes an area of interest within each image frame that corresponds to an area in the camera's view field with the patient. Sequential image frames are compared for motion, only frames without motion, where the patient is still, are analyzed. Analysis techniques include selecting a plurality of vertical positions in the area of interest, each vertical position corresponding to a part of the patient body indicative of the patient's position, horizontally scanning values along the horizontal pixel row at each vertical position in the area of interest, finding an average value for each vertical position and identifying a patient interval of the horizontal pixel row having pixel values different from the average and a representative horizontal position of the patient interval for each vertical interval. Then, comparing those median horizontal positions from a current image frame to the representative horizontal positions from a previous image frame to determine a change in the patient's position.
A recording apparatus includes a recording controller configured to record picture data of a 3D picture and viewing environment information indicating an environment intended as a viewing environment for the 3D picture on a recording medium.
A 3D model of an object is rendered using centered images of the object. An algorithm executed locally or in a distributed manner calculates camera positions for the images and determines a virtual camera path based on the camera positions. The application adjusts the images to fit the plane of the virtual camera path and fills in the gaps between the images using transition renderings. To improve user experience, the application also calculates resting positions for navigation stop points using a spring system. Upon constructing the 3D model, the application can transmit the 3D model to a variety of user devices including the network connected device having a camera module that captured the images.
A narrow-band observation image and a spectral estimation image are automatically switched based on the type of a subject. In an endoscopic image obtained by a scope, judgment is made as to whether the endoscopic image was obtained by close-up imaging or by distant-view imaging. When it is judged that the endoscopic image was obtained by distant-view imaging, white light is output from a light source unit and a spectral estimation image is output. When it is judged that the endoscopic image was obtained by close-up imaging, narrow-band light is output from the light source unit and a narrow-band observation image that has been obtained when the narrow-band light was output is output.
The invention relates to an image detection system for a panoramic view comprising linearly disposed optical channels with a microlens and a detector which is situated in the focal plane thereof. The camera unit is thereby mounted on a rotating or rotating-oscillating rotational shaft, as a result of which a panoramic view of the image detection system is made possible. Image detection systems of this type are used in medical technology, on vehicles, for inspection of hole-like recesses and also for 360° image detection in rooms.
A method of transferring communication streams, including establishing a first stream via a first communication path between a first terminal and a second terminal, opening a second communication path between the second terminal and the first terminal, sending to the first terminal, via the second communication path, a request to establish a communication stream between the first terminal and a third terminal via a third communication path, and comparing respective capabilities of the first terminal and the third terminal to determine whether it is possible to establish a communication stream between the first terminal and the third terminal, and in the affirmative, interrupting the first stream and establishing a communication stream, between the first terminal and the third terminal.
An optical scanning apparatus and an image forming apparatus having the optical scanning apparatus includes a before-light-deflecting-unit optical system and a scanning optical system. The optical system includes a first optical device, a second optical device made of a resin material which has an anamorphic negative refracting power in a deflection scanning direction and a deflection scan perpendicular direction and has a larger refracting power in the deflection scan perpendicular direction than a refracting power in the deflection scanning direction, and a third optical device made of a glass material which has substantially no refracting power in the deflection scanning direction and a positive refracting power in the deflection scan perpendicular direction. An interval of the scanning lines formed on the scanned surface is adjusted by displacement of the second and third optical devices in an optical axis direction of the before-light-deflecting-unit optical system.
Mechanical surface of rotational elements for motion compensation of a locomotive object. A single layer of rotational elements formed as a mechanical surface on which a human can stand and/or move, and which automatically compensates for diverse human locomotion such as translation in two dimensions and rotation. The surface can be used to actively sense and compensate for varying speeds of human motion while in locomotion on the surface. The layer allows a user to move freely within a confined area and to be maintained within the confined area via motion compensation. Thus, the surface is particularly suited for immersion visualization environments where user motion on the surface is translated into user navigation through a virtual environment such as for computer games and simulation without necessitating constraints on immersive scene projection or participant motion.
A method of displaying a computer application comprising a plurality of objects within an initially transparent application window comprises creating an animated content window below the application window for each animated content object of the computer application and directing the player responsible for playing the animated content object to draw the animated content directly therein. The objects of the computer application are examined and a clipping mask for each animated content object corresponding generally to the animated content window created therefor is defined. Starting from bottom to top, an object from the list is selected. If the selected object is not an animated content object, the object is drawn within the application window except in areas corresponding to the clipping masks. If the selected object is an animated content object, the clipping mask defined for animated content object is removed, thereby to allow selected objects in the list above the animated content object to be drawn thereover.
Some embodiments provide a method for editing an image that includes several pixels having pixel values in a color space. The method identifies (i) a shape in a plane of two dimensions of the color space and (ii) a range in a third dimension of the color space over which the first shape is propagated to form a first volume in the color space. Pixels whose pixel values are in the first volume of the color space are fully selected. The method displays a deformable curve along the third dimension that represents the manner in which the shape is propagated over the range of the color space.
The calculation device (36) according to the present invention receives a plurality of reflected light intensity information for indicating the intensity of each reflected light which reaches a single light receiver via a reflecting object, the reflected light having been emitted in sequence from a plurality of light emitters (31 through 33) provided in mutually different positions, computes a phase difference of an intensity variation which occurs among the reflected light, and determines a movement of the reflecting object on the basis of the calculation result.
A method and system for higher level filtering uses a native bilinear filter, typically found in a texture mapper, and combines a plurality of bilinear filter results from the bilinear filter to produce a higher level filtered texel value. A native bilinear filter is operative to generate bilinear filtered texel values by performing a plurality of bilinearly filtered texture fetches using bilinear filter fetch coordinates. The method and system combines the plurality of bilinear filtered texel values with a plurality of weights to generate the higher level filtered texel value.
There are provided a waveform observing apparatus and the system thereof in which, when a removable storage medium is inserted into the waveform observing apparatus to capture a copy of measured data, a difference copy is made while including the latest measured data collected by the waveform observing apparatus at the time, sixteenth and seventeenth measured data temporarily stored in a buffer memory are filed and stored in the state of being housed in a fourth measured data file, into a body memory. Copies of a third measured data file including part of the difference copy and a new fourth measured data file are written into the USB memory. When the USB memory is inserted into a personal computer, a hard disk of the personal computer comes into a state where its third measured data file is overwritten and stored and the fourth measured data file is newly stored.
A graphics system may include a display pipe with a buffer configured to store pixels to be processed by a display controller for displaying on a display device, with a buffer control circuit coupled to the buffer to supply pixels to the display controller. When the buffer control circuit detects an underrun of the buffer responsive to the display controller attempting to read pixels from the buffer that have not yet been written to the buffer, the buffer control circuit may supply an underrun pixel to the display. The underrun pixel may be selected from a set of previously stored set of underrun pixels, which may include a most recent valid pixel read by the display controller. A read pointer representative of the location in the buffer from where the display controller is currently attempting to read may be advanced even when an underrun condition occurs. The underrun pixel may be supplied to the display controller until the underrun has been resolved, at which point the most recent valid pixel read from the buffer may be supplied to the display controller.
Folding avoidance in skeletal stroke application is provided. In some embodiments, folding avoidance in skeletal stroke application includes identifying a destination path for a source artwork and a plurality of ribs extending perpendicularly from the destination path, in which the source artwork is mapped onto the destination path; determining a contiguous region along the destination path including a subset of ribs; in which each of the subset of ribs in the contiguous region crosses another rib in the contiguous region that is not necessarily an adjacent rib; and adjusting each of the subset of ribs in the contiguous region so that each of the ribs no longer crosses another rib in the contiguous region to provide a modified subset of ribs. Accordingly, the source artwork can then be adapted to fit along the destination path using the adjusted ribs.
In accordance with the present disclosure, a system and method for providing an analytical and visual depiction of relationship data is presented. The method includes providing a plurality of graph objects corresponding to relationship data. Each of the graph objects may be categorized. At least some of the graph objects may then be displayed in a visual form that represents a network structure. The visual form may be determined, at least in part, according to the categorization of each of the graph objects.
A liquid crystal display device employing a dot inversion drive method includes a pixel array, a data driver circuit, a short circuit, and a scanning circuit. The short circuit is disposed for respective outputs of the data driver circuit, and includes a switching element for connecting each of the outputs to a precharge voltage different from an output voltage. The short circuit includes the switching element disposed in one of a first switching group and a second switching group; the switching element of one of the first switching group and the second switching group is connected to respective pairs of pixel column units including an odd-numbered pixel column and an even-numbered pixel column which are adjacent to each other; and the pairs of pixel column units which are adjacent to each other are each connected to the switching element disposed in respective switching groups different from each other.
The semiconductor device includes a plurality of photosensors arranged in matrix. The photosensors each include a photoelectric conversion element and an amplifier circuit. A backlight is turned on, an object to be detected is irradiated with light, and the photosensor in a p-th row performs the reset operation and the storage operation. After that, the backlight is turned off, and the photosensor in a (p+1)th row performs the reset operation and the storage operation. Then, the photosensors in all the rows sequentially perform the selection operation. A difference between output signals obtained from the photosensors in adjacent rows is obtained. Using the difference, a captured image of the object is generated and a region where the object exists is detected. The amplifier circuit includes a transistor for holding stored electric charge, in which a channel is formed in an oxide semiconductor layer.
A flat panel display (FPD) includes: a timing controller configured to supply a mode control signal and a scan control signal; a plurality of pixels coupled to a plurality of scan lines and a plurality of data lines; a data driver for supplying a plurality of data signals to the data lines, and a scan driver coupled to the scan lines and including a plurality of scan integrated circuits (ICs), each of the scan ICs being configured to supply a plurality of scan signals to corresponding ones of the scan lines, wherein the timing controller is configured to select of the scan ICs in accordance with the mode control signal and wherein the timing controller is further configured to control a corresponding scan signal of the scan signals to be supplied to one of the scan lines coupled to the scan IC selected in accordance with the scan control signal.
Aspects of this disclosure relate to a method that includes, in an example, sending, by a source device, display data to a sink device for rendering on the sink device. The method also includes receiving, by the source device, an indication of user input at a first display location of a touch-sensitive screen associated with the source device, wherein the user input specifies a modification of at least a portion of the display data rendered at the sink device, wherein the portion of the display data is rendered at a second display location of the sink device. The method also includes, in response to receiving the indication, sending, by the source device, second configuration data to modify the portion of the display data rendered at the second display location based at least in part on a mapping of the first display location to the second display location.
A touch display panel which includes a first substrate, a second substrate, at least a multi-sensing structure, a display medium and at least a display controlling device is provided. The multi-sensing structure is disposed between the first substrate and the second substrate, and the multi-sensing structure includes a sensing upper electrode and a plurality of first sensing lower electrodes. The sensing upper electrode is disposed on the second substrate. The first sensing lower electrodes are disposed on the first substrate and electrically connected to each other in series, wherein a plurality of first sensing gaps are designed between the first sensing lower electrodes and the sensing upper electrode, and the first sensing gaps have different distances. The display medium is sandwiched between the first substrate and the second substrate. The display controlling device is disposed on the first substrate for controlling the display medium.
Embodiments of an apparatus, system and method are described for implementing a contoured thumb touch sensor. An apparatus may comprise, for example, an input device configured on a side of an apparatus, the input device having a concave shape in a direction from a top of the apparatus to a bottom of the apparatus, the input device comprising a touch-sensitive surface operative to detect movement input. Other embodiments are described and claimed.
A method sends a signal to render visual information on a display, and receives a user response to the rendered visual information. The user response includes a first delay. The method also queries an electronic system for data indicating a second delay. The second delay is a portion of the first delay and attributable to the electronic system. The method further using the data indicating the second delay to compensate for electronic system delay during interactions with a user.
The present invention relates to a mobile terminal including at least two display areas and a method of controlling the same. The mobile terminal of the present invention includes at least two display areas. A user can input a control command to the mobile terminal by moving the mobile terminal or manipulating user manipulating portions included in the mobile terminal. A controller controls a display area corresponding to an input type of the control signal, from among the display areas displayed in the mobile terminal. A user can control the mobile terminal including the at least two display areas easily and simply.
A method for updating display image of an electrophoretic display panel and an electrophoretic display apparatus using the same are provided. In the aforementioned method, the gate lines of the electrophoretic display panel are divided into a first group and a second group. The display data related to pixels coupled to the gate lines of the first group need not to be updated. Furthermore, the display data related to at least one of the pixels coupled to the gate lines of the second group need to be updated. The first type gate pulses are provided to the gate lines of the first group respectively, and second type gate pulses are provided to the gate lines of the second group respectively. The second type gate pulse has a predetermined pulse width. The pulse width of the first type gate pulse is shorter than the predetermined pulse width.
Provided are a backlight unit and a display apparatus including the same. The backlight unit includes a bottom cover, a substrate, light sources, light guide panels, at least one reflective member, and an optical sheet. The substrate is in the bottom cover. The light sources are on the substrate to emit light at an orientation angle from a first direction. The light guide panels include a light incident part having a light incident surface to which light is incident in the first direction from the light sources, and a light emitting part that emits the incident light in a second direction crossing the first direction and has a side connected to the light incident part. The reflective member includes a reflective region overlapping the light guide panel and an extension region that does not overlap the light guide panel. The optical sheet is above the light guide panel.
A liquid crystal display includes a timing controller and a liquid crystal panel. The timing controller sequentially receives first through third primitive image signals and sequentially outputs first through third corrected image signals. The liquid crystal panel displays an image based on the first through third corrected image signals. The timing controller generates a first converted image signal having a first gray level based on the first primitive image signal and stores the first converted image signal. The second primitive image signal has a second gray level and the timing controller generates a second converted image signal having a third gray level higher than the second gray level when the second gray level is lower than the first gray level. The timing controller generates the third corrected image signal using the second converted image signal and the third primitive image signal.
An LCD monitor capable of automatically switching display mode, the corresponding control method and the controller for controlling the LCD monitor are provided. The LCD monitor includes a digital signal receiver, a signal certification unit, an image processor, and an LCD panel. The digital signal receiver receives a digital image signal. The signal certification unit which is coupled to the digital signal receiver determines if the digital image signal conforms to a protocol and generates a control signal. The image processor which is coupled to the digital signal receiver and the signal certification unit determines the display mode of the LCD monitor according to the control signal and processes the digital image signal according to the display mode to generate an output image signal. The LCD panel which is coupled to the image processor displays the image contents of the output image signal.
A method and system for adjusting a gray-scale level of an LCD device. The method includes: checking a default gray-scale value A; comparing default brightness value YA with a target brightness value YX; inputting a trial gray-scale value B; and outputting a target gray-scale value X. The method employs a preselected Similarity of Triangles technique. The system employs a image-capturing unit determining screen brightness and a control unit using a preselected Similarity of Triangles technique to adjust the gray-scale level of a connected display device, reducing a single-screen-capture gray-scale level adjustment interval to about 1.8 to 2.1 seconds.
LED transceiver front end circuitry and related methods are disclosed that use an LED or LEDs to transmit light in a transmit state and to receive incident light in a receive state while helping to reduce effects of power supply noise and ripple and device leakage currents on incident light measurements in applications where such conditions exist. In the disclosed embodiments and implementations, a controlled voltage is applied across an LED or LEDs or a reference voltage is applied to an LED chain or LED to help reduce the effects of power supply noise and ripple and device leakage currents on incident light measurements during a receive state of operation. Further, with respect to the LED chain, one or more resistors are coupled in parallel to the LEDs in the LED chain.
An electroluminescent (EL) device with aging compensation has a luminance and a chromaticity that both correspond to the density of the current and the age of the EL emitter. Different black, first and second current densities are selected based on the measured age, each corresponding to emitted light colorimetrically distinct from the light emitted at the other two current densities. Respective percentages of a selected emission time are calculated for each current density to produce a designated luminance and chromaticity. The current densities are provided to the EL emitter for the calculated respective percentages of the emission time so that the integrated light output of the EL emitter during the selected emission time is colorimetrically indistinct from the designated luminance and chromaticity, no matter the age of the EL emitter.
A light emitting display including: a plurality of scan lines adapted to transmit scan signals; a plurality of data lines adapted to transmit a data signal; a plurality of emission control lines adapted to transmit an emission control signal; and a plurality of pixels adapted to emit light in response to the scan signal, the data signal and the emission control signal. At least two pixels receiving the scan signals through different scan lines are connected to one emission control line. With this configuration, a scan driver and a light emitting display including the same have a decreased number of wiring lines provided in a pixel portion, thereby enhancing an aperture ratio, decreasing the number of emission control signals, decreasing the number of components and wiring lines needed for the scan driver, simplifying a fabrication process, and reducing the power consumption of the light emitting display.
A color display device includes a display area where a non-rectangular display range is determined. Pixels of which a display color is set to a mixed color formed by adjusting brightness of sub-pixels having a plurality of different single-colors are arranged within the display area. In addition, parts of the pixels subjected to non-coloring drive and display are arranged so as to extend to the contour of the display area.
A method includes determining if a display connection is in an information handling system, reading configuration information from a display, determining whether the configuration information identifies the display as an internal device, and training a data link. A memory includes code to perform a method of determining if a display connection is in an information handling system, reading configuration information from a display, determining if the configuration information identifies the display as an internal device, and training a data link. An information handling system includes a memory with code, a display, and a graphics processor that executes the code. The code operates to determine if a display connection is in the information handling system, read configuration information from the display, determine if the configuration information identifies the display as an internal device, and train a data link.
According to various aspects, exemplary embodiments are provided of bow tie antennas and antenna assemblies that include the same. In an exemplary embodiment, a bow tie antenna includes a pair of antenna elements. Each antenna element includes spaced apart end portions defining an open portion such that the antenna element has an open shape. The open shape is closed by dielectric material disposed between the spaced apart end portions and extending across a gap separating the spaced apart end portions, whereby the dielectric material and pair of antenna elements cooperatively define a closed bow tie shape for the bow tie antenna.
An antenna arrangement including an antenna; a first variable impedance circuit connected between ground and a first point of the antenna; and a second variable impedance circuit connected between ground and a second point of the antenna and a connection from a third point of the antenna to ground wherein; the first point of the antenna and the second point of the antenna are separated along the length of the antenna and the impedance of the first variable impedance circuit and the second variable impedance circuit control the resonant frequency of the antenna arrangement.
In an antenna apparatus, two pattern antennas are arranged side by side in an area close to a ground conductor layer on a surface of a dielectric substrate, in such a manner as to be formed substantially line-symmetrical with each other. Each of the pattern antennas includes a radiation element having a feed coupler, a mutual coupler, and a feed element fed by a high frequency circuit unit. An open end of the radiation element is located near the ground conductor layer. The feed element and the feed coupler are capacitively coupled with each other, whereby the radiation element is excited. At the excitation, the mutual couplers that extend substantially in parallel with and close to each other are capacitively coupled with each other, and hence, polarization planes of the electric fields radiated from the radiation elements can be made orthogonal to each other.
The invention provides a security scanner that produces a radar profile of a clothed person or another object such as a bag carried by a person at a distance and does not require close proximity of the person or object to the scanner itself. The scanner includes a millimeter wave antenna system optimised for short-range active imaging and arranged to provide rapid high-resolution images of an object or person of interest and processing means for resolving the images so as to detect the presence of predetermined objects. The processing means preferably includes means for comparing contrasts in reflectivity in the scanned images with predetermined expected values from skin and light clothing. The processing means may also include means for detecting predetermined behavioral or physical traits such as the effect on gait on carried weighty objects or stiff structures strapped to the person from the images of a scanned object or person. The scanner may be incorporated within a turnstile access arrangement.
Each of cascade-connected one-bit A/D converters includes first and second amplifier circuits receiving first and second input signals, a third amplifier circuit that outputs an interpolation value of outputs of the first and second amplifier circuits, a comparator that outputs a binary signal having value determined by a polarity of an output of the third amplifier circuit, and a selector that selects two of three outputs of the first to third amplifier circuits, based on a value of the comparator. The selector is set such that direct-current transfer characteristics of two outputs of the selector are folded and symmetrical relative to the midpoint of the first and second input signals.
A high order integrator is configured using an operational amplifier, a first filter connected between an input terminal of the integrator and an inverted input terminal of the operational amplifier, and a second filter connected between the inverted input terminal and output terminal of the operational amplifier. The first filter includes n serially-connected first resistance elements, n−1 first capacitance elements each connected between each interconnecting node of the first resistance elements and the ground, and n−1 second resistance elements each connected between each interconnecting node of the first resistance elements and the ground. The second filter includes n serially-connected second capacitance elements, n−1 third resistance elements each connected between each interconnecting node of the second capacitance elements and the ground, and n−1 third capacitance elements each connected between each interconnecting node of the second capacitance elements and the ground.
An apparatus having a first circuit and a second circuit is disclosed. The first circuit may be configured to generate (i) a plurality of symbols and (ii) a plurality of decision values both in response to detecting an encoded codeword. The second circuit may be configured to (i) generate a plurality of probabilities to flip one or more of the symbols based on the decision values, (ii) generate a modified probability by merging two or more of the probabilities of an unreliable position in the symbols and (iii) generate a decoded codeword by decoding the symbols using a list decode technique in response to the modified probability.
In response to receipt of an input string, an attempt is made to identify, in a template store, a closely matching template for use as a compression template. In response to identification of a closely matching template that can be used as a compression template, the input string is compressed into a compressed string by reference to a longest common subsequence compression template. Compressing the input string includes encoding, in a compressed string, an identifier of the compression template, encoding substrings of the input string not having commonality with the compression template of at least a predetermined length as literals, and encoding substrings of the input string having commonality with the compression template of at least the predetermined length as a jump distance without reference to a base location in the compression template. The compressed string is then output.
A method for determining whether portions of text have been copied during electronic copying, photocopying, or scanning of a document. The method comprises generating a target code from a target passage of the text, determining whether a sample passage of text includes the target code, and, upon successful determination, initiating an action if the sample passage of text includes the target code. A method of generating a code representative of a passage of text uses a spacing between respective occurrences of one or more key symbol strings within the text. By comparing the known code of a target text passage with the code generated from a sample text passage, it is easy to determine whether the target text has been used within the sample. The method may be integrated within a copying device.
A method and onboard unit for radio communication between a radio beacon and an onboard unit with a transceiver as part of a road toll system, wherein the radio beacon has a limited radio coverage area and the onboard unit has two operating modes, i.e. a first energy-consuming working mode and a second energy-saving resting mode, and can be changed from the resting mode to the working mode by a signal received from the radio beacon. The method comprises: receiving a signal in the onboard unit and as a result, changing the onboard unit to the working mode, if this was previously in the resting mode, within a prompt time; transmitting a response signal, which contains at least one information message concerning the operating mode of the onboard unit before receipt of the signal, from the onboard unit to the radio beacon; and on the basis of the received operating mode information selecting by means of the radio beacon whether the remaining part of the radio communication is to be conducted with the onboard unit for a longer or shorter duration.
A stimulation execution portion of a sleep system applies a stimulus (S112) determined based on the difference between the present sleep depth of a target person (S111), which has been measured by a stimulation effect calculation portion (S105) and a target sleep depth for the target person.
A wireless bolt lock remote is disclosed. The wireless bolt lock remote includes a control circuit adapted for use with a bolt lock system and configured to detect a locked state and an unlocked state of a bolt lock, and upon detection of a change in state to wirelessly transfer a status to identity the detected state to an alarm system. The control circuit is wirelessly coupled to the alarm system for wireless communication. The wireless bolt lock remote includes a power source to supply power to the control circuit. Associated systems and methods for remote wireless security for bolt locks also are disclosed.
Compositions, systems and methods that allow for the detection of the actual physical delivery of a pharmaceutical agent to a body are provided. Embodiments of the compositions include an identifier and an active agent. The invention finds use in a variety of different applications, including but not limited to, monitoring of therapeutic regimen compliance, tracking the history of pharmaceutical agents, etc.
In a vehicular obstacle notification apparatus, a notification priority is determined from two of (i) a collision possibility between an obstacle and a subject vehicle and (ii) a region priority specific to an obstacle, instead of only from the collision possibility. Even if the collision possibility is high, the notification priority may become low when the region priority is low. In contrast, even if the collision possibility is low, the notification priority may become high when the region priority is high. Thus, the warning or warning notification to the driver with respect to obstacles can be executed effectively in an order of the necessity of urging the driver to take precautions, in comparison with the case where the notification priority is determined only based on the collision possibility.
A state-based remote control system for providing efficient and simple operation of a plurality of electronic devices as a coordinated system based upon an overall task. The state-based remote control system includes a housing, a keypad in communication with an electronic system contained within the housing, and a communication device in communication with the electronic system for communicating with external electronic devices. The electronic system monitors the buttons selected by a user to determine the state of all external electronic devices that are to be controlled. When the user selects a task (e.g. watch television), the electronic system automatically determines the actions required to achieve the desired task based upon the current state of the external electronic devices. After the task has been fulfilled, the electronic system updates the data to reflect the modified state of the external electronic devices.
A semiconductor device, a method of manufacturing a semiconductor device and a method for transmitting a signal are disclosed. In accordance with an embodiment of the present invention, the semiconductor device comprises a first semiconductor chip comprising a first coil, a second semiconductor chip comprising a second coil inductively coupled to the first coil, and an isolating intermediate layer between the first semiconductor chip and the second semiconductor chip.
A metamaterial comprises a support medium, such as a planar dielectric substrate and a plurality of resonant circuits supported thereby. At least one resonant circuit is a tunable resonant circuit including a conducting pattern and a tunable material, so that an electromagnetic parameter (such as resonance frequency) may be adjusted using an electrical control signal applied to the tunable material.
In one aspect of the invention, an acoustic device has a first coupled resonator filter (CRF) and a second CRF electrically coupled to one another in series. Each CRF has an input port, an output port, a bottom film bulk acoustic resonator (FBAR), an acoustic decoupler formed on the bottom FBAR, and a top FBAR formed on the acoustic decoupler. Each FBAR has a bottom electrode, a piezoelectric layer formed on the bottom electrode, and a top electrode formed on the piezoelectric layer. The decoupling layer capacitance arising between the two electrodes enclosing the acoustic decoupler in a CRF is configured to achieve targeted filter response. A compensating capacitance is introduced to improve the amplitude and phase imbalance performance of an unbalanced to balanced CRF by eliminating the existence of asymmetric port-to-ground or feedback capacitance at the balanced output port produced by the decoupling layer capacitance.
An oscillating signal generator utilized in a phase-locked loop (PLL) includes: an oscillating circuit arranged to generate an oscillating signal according to at least a first control signal; and a control circuit, arranged to adjust the first control signal according to a temperature; and the first control signal is tuned between a first boundary and a second boundary, and when the temperature is closer to a first temperature boundary than a second temperature boundary, and the control circuit is arranged to make the first control signal to be closer to the first boundary than the second boundary such that the oscillating circuit outputs the oscillating signal of a predetermined frequency in a locked mode of the PLL.
A body biasing device for an amplifier which has a P-type differential pair and outputs an output signal at an output node according to a differential input signal pair is disclosed. The body biasing device includes a detection unit coupled to the operational amplifier for detecting a detected voltage related to the differential input signals and accordingly outputting a control signal; and a selection unit coupled to the detection unit and the operational amplifier for outputting a body bias to the P-type differential pair according to the control signal.
A differential amplifier stage and method for offset cancellation include an amplifier having an input and an output. An internal offset cancellation circuit has an input for receiving a control signal to control offset cancellation in the amplifier. The offset cancellation circuit is integrated with the amplifier but isolated from the input and the output of the amplifier, and, in accordance with its isolation, an impedance of the stage is unaffected by the offset cancellation circuit.
The invention describes a radio frequency (=RF) power amplifier (20), comprising—a coupling array (1) comprising a plurality of nano-sized coupling elements (2; 41; 51), wherein the coupling elements (2; 41; 51) are grouped into a number N of sub-arrays (SA-1 . . . SAN), with each sub-array (SA-1 . . . SAN) exhibiting•a specific resonance frequency (f1 . . . fN) and•a specific attenuation of a mechanical self-oscillation of its coupling elements (2; 41; 51), wherein for the coupling elements (2; 41; 51) of each sub array (SA-1 . . . SAN), there is a stimulating means for stimulating a mechanical self-oscillation, —and a signal processing unit (22) for controlling the stimulating means with stimulating pulses having a pulse form and timing calculated by the signal processing unit (22) based on an evaluation of the spectral components of an RF signal to be amplified, namely the amplitudes (C1. . . CN) and phases (Φ1. . . ΦN) at the frequencies (f1 . . . fN) corresponding to said specific resonance frequencies. The inventive RF power amplifier provides a high efficiency and a high linearity, in particular at high RF frequencies.
A method for generating a reference voltage in an integrated circuit device that is powered by a low voltage power includes generating a coarse first reference voltage using a coarse reference generator, routing the coarse first reference voltage to a boost regulator as an input reference voltage by a hand-off switch circuit, the boost regulator generating an initial-state stepped-up supply based on the first reference voltage, and generating at least two outputs of a second, more accurate, reference voltage from the stepped-up supply voltage using a fine-resolution reference generator. The second reference can be then looped back to the boost regulator, thus, generating a more accurate steady-state stepped-up supply voltage.
A semiconductor device includes a variable resistor that sets a resistance value as a first resistance value in an emphasis mode, and as a second resistance value smaller than the first resistance value in a de-emphasis mode, a first driver that sets an output impedance as a third resistance value in the emphasis mode, and as a fourth resistance value larger than the third resistance value in the de-emphasis mode, a second driver that sets the output impedance as a fifth resistance value in the emphasis mode, and as a sixth resistance value larger than the fifth resistance value in the de-emphasis mode, and a controller that controls conductive states of the first and second drivers according to an input signal, and switches the output impedances of the first and second drivers and the resistance value of the variable resistor between the emphasis mode and the de-emphasis mode.
Variable attenuators and methods of their operation are provided. A variable attenuator includes first and second variable resistance circuits and multiple additional resistors. The first variable resistance circuit has a plurality of current paths coupled in parallel between input and output terminals. A first current path includes two first resistors coupled in series between the input and output terminals, and a switch, which has a channel coupled across one of the two first resistors. The multiple additional resistors include second and third resistors. The second resistor is coupled between the input terminal and an intermediate node. The third resistor is coupled between the output terminal and the intermediate node. The second variable resistance circuit is coupled between the intermediate node and a voltage reference terminal. The level of attenuation provided by the attenuator is controlled by a switch control circuit based on a digital input.
A delay chain circuit including at least two delay elements, wherein each delay element is configured to: receive a first signal; output a second signal after a delay period; and be operable in at least two modes of operation wherein in a first mode of operation each delay element has a first delay period and in a second mode of operation each delay element has a second delay period.
A phase adjusting apparatus includes a comparison code generating section, a calculating section, and a delay section. The comparison code generating section individually generates a first comparison code having a phase of a head code advanced and a second comparison code having the phase of the head code delayed, the head code being included in serial transfer data. The calculating section acquires a direction of adjustment of a phase of the serial transfer data using a comparison result of the head code and the first comparison code and a comparison result of the head code and the second comparison code. The delay section adjusts a delay amount of the serial transfer data based on the direction of adjustment of the phase.
A high voltage semiconductor device is provided and includes an n−-type region encompassed by a p− well region and is provided on a p−-type silicon substrate. A drain n+-region is connected to a drain electrode. A p base region is formed so as to be separate from and encompass the drain n+-region. A source n+-region is formed in the p base region. Further, a p−-region is provided that passes through the n−-type region to the silicon substrate. The n−-type region is divided, by the p−-region, into a drift n−-type region having the drain n+-region and a floating n−-type region having a floating electric potential.
An apparatus and method corrects for zero ampere level current fluctuations in a current signal. First and second acquisition circuitry generate respective current and voltage data samples of the current signal. Current fluctuation data samples representative of zero ampere level deviations of the current signal are extracted corresponding to Off-periods of the current signal. The current fluctuation data samples of the Off-periods are interpolated to generate current fluctuation data samples representative of zero ampere level deviations of the On-periods of the current signal. The Off-period and On-period current fluctuation data samples are subtracted from the current data samples of the current signal to generate corrected zero ampere level current data samples.
A circuit for measuring a capacitance value of a touch screen includes: a target capacitor unit having a target capacitor charged with a target charging voltage; a target voltage control unit to charge the target capacitor; a reference capacitor unit having a reference capacitor charged with a charging reference voltage; a reference voltage control unit to charge the reference capacitor; a comparator to compare the target charging voltage and the charging reference voltage and output a transition signal at a moment when the target charging voltage becomes higher than the charging reference voltage; and a controller to receive an output signal of the comparator and a clock signal and generate a digital output signal and a control signal, wherein a capacitance value of the target capacitor is measured using a time elapsed from a time when the target capacitor is initialized to a time when the transition signal is outputted.
A sensor device for determining the deviation of the position of a head support of a motor vehicle seat from a target position is provided that includes a capacitative proximity sensor that can be integrated in a head support, having two transmitting electrodes disposed at a vertical distance from each other and a common receiving electrode. The sensor device further includes a control unit that can be set up for actuating the transmitting electrodes for transmitting an alternating electric field, determining a dimension for the vertical and horizontal deviation of the head support position from a target position relative to the position of a head of a vehicle occupant from received electrical signals captured by the receiving electrode and information about the capacitance formed between each of the transmitting electrodes and the receiving electrode determining the dimension for the vertical deviation of the head support position using a difference between the received signals associated with the two transmitting electrodes or parameters derived therefrom, and determining the dimension for the horizontal deviation of the head support position using the sum of the received signals associated with the two transmitting electrodes or parameters derived therefrom.
Sensor assemblies used to detect the proximity of a material to a microwave element are disclosed. One example sensor assembly includes a signal generator configured to generate at least one microwave signal, a coupler connected to the signal generator, a microwave element coupled to the coupler, and a processing module connected to the coupler. The microwave element is configured to generate an electromagnetic field as a function of said at least one microwave signal. The microwave element is structured to reflect a loading signal to said coupler when a material interacts with the electromagnetic field. The processing module is configured to process the loading signal with a reference signal to generate a data signal representative of the proximity of the material to the microwave element. The data signal defines a sub-microwave frequency.
A reception coil, in particular local coil, to measure X-nuclei signals in magnetic resonance spectroscopy, has at least one filter connected in a first signal path to filter out radio-frequency pulses radiated by a transmission coil during a measurement, and a second signal path bypassing the filter. At least one switching arrangement is provided to switch between these signal paths.
For example, the present disclosure provides exemplary embodiments of a coil arrangement that can include, e.g., a plurality of elements which can be provided at an angle from one another. The angle can be selected to effectuate an imaging of a target region of interest at least one of a predetermined depth or range of depths, for example. In certain exemplary embodiments according to the present disclosure, the angle can be selected to effectuate an exemplary predetermined transmit efficiency for at least one of the elements. Additionally, the exemplary angle can be selected to effectuate a predetermined receive sensitivity for at least one of the elements. Further, according to certain exemplary embodiments of a coil arrangement in according to the present disclosure, the angle can be adjusted manually and/or automatically.
Methods, systems, and devices are described for substantially simultaneous acquisition of magnetic resonance angiography (MRA) and magnetic resonance venography (MRV) data. Some embodiments provide susceptibility weighted magnetic resonance imaging of vasculature, including generating a multi-echo pulse sequence having a repetition time, and a first echo and a second echo during the repetition time; acquiring MRA data from at least the first echo; and acquiring MRV data from at least the second echo. The MRA data and/or the MRV data may also be post-processed (e.g., filtered, displayed, etc.). Various embodiments provide additional functionality, including techniques for processing data from an unsampled portion of one echo using sampled data from another echo.
A voltage detection and measurement circuit is provided. The circuit includes a first Zener diode operatively coupled to an AC power input line and a second Zener diode operatively coupled to a reference voltage line. The first and second Zener diodes are configured to pass portions of input AC voltage from the AC power input line to generate output waveforms. The circuit also includes an optocoupler coupled to the first and second Zener diodes to receive the output waveforms from the first and second Zener diodes to detect the input AC voltage and a processing circuit configured to receive the output waveforms from the optocoupler and to determine a magnitude of the input AC voltage based upon the output waveforms.
A semiconductor integrated circuit includes a current mirror having a predetermined input-output ratio and including a first transistor configured to receive an input current and a second transistor configured to output an output current, and an output transistor configured to generate a reference voltage according to the output current of the current mirror. The value of the output current is greater than the value of the input current, and the total area of one or more collector regions of the first transistor is substantially the same as the total area of one or more collector regions of the second transistor.
A power-supply control apparatus uses a margin setting unit to set the value of a margin that is added to a request voltage value VID1 of an electronic device, which dynamically changes the operation voltage. A margin adding unit calculates a control voltage value VID2 by adding the margin to the request voltage value VID1 and outputs the control voltage value VID2 to a power-supply apparatus. Therefore, the power-supply apparatus can supply a margin-included voltage value that is changed in accordance with a change in the operation voltage of the electronic device. Accordingly, it is possible to check the electronic device, which dynamically changes its operation voltage, by using a margin that is properly set.
A post regulation control circuit aims to monitor ancillary output power generated from a power supply. The power supply includes at least one primary output circuit to provide a primary output power. A post regulation circuit obtains the primary output power and regulate to an ancillary output power. The monitor circuit sets an abnormal level and obtains a detection power from the post regulation circuit to compare with the abnormal level. Determining whether to output a driving pulse wave according to the detection power is over the abnormal level or not, or stop outputting the driving pulse wave.
The invention discloses a power grid fault ride-through device and a method for a doubly fed induction generator. The device comprises a controller and a crowbar circuit, the controller is in controlled connection with a generator-side converter and a grid-side converter of the doubly fed induction generator and the crowbar circuit, a three-phase input end of the crowbar circuit is connected with a connection end of a du/dt inductance and the generator-side converter, the crowbar circuit comprises a switch circuit and a drive circuit which is in driving connection with the switch circuit, the controller is in controlled connection with the drive circuit, the switch circuit comprises a bridge circuit comprising full-controlled power electronic devices as constituent elements, and a three-phase input end of the switch circuit is connected to the three-phase input end of the crowbar circuit; and with the device, the method comprises the following steps of closing the generator-side converter and triggering the conduction of the switch circuit in case that a power grid dips to the condition that rotor current is equal to or larger than a conduction threshold value, and switching off the switch circuit in case that rotor current is equal to or smaller than a switch-off threshold value. The invention prolongs the service life of the crowbar circuit and improves the working reliability of the crowbar circuit, and has simple structure and easy implementation.
There is provided a charge controller capable of charging a capacitor while suppressing the progress of deterioration of the capacitor without unnecessary charge/discharge of the capacitor.The charge controller includes a voltage sensor 133 that detects the voltage of a capacitor 101, a current sensor 135 that detects the charge/discharge current of the capacitor 101, a battery ECU 123 that estimates the SOC of the capacitor 101 based on the detected voltage, and a management ECU 117. The management ECU 117 calculates the charge/discharge amount from the start of charging of the capacitor 101 by integrating the charge/discharge currents detected by the current sensor 135 and controls the charging of the capacitor 101 based on the estimated SOC and the calculated charge/discharge amount. The management ECU 117 updates and sets the estimated SOC to an actual use upper limit SOC based on the state characteristics of the capacitor 101.
In an electric tool battery, even in a case where poor connection or the like is generated in the wiring between one voltage monitoring element and the cells, it is possible to monitor the voltages of the cells by another voltage monitoring element, so that it is possible to achieve an improvement in terms of the reliability of the electric tool battery against overcharge etc. The electric tool battery includes a plurality of cells connected in series and a plurality of voltage monitoring elements capable of doubly monitoring of the voltages of the individual cells at the time of charging and discharging, and a lead plate electrically connecting an electrode of the cell and an electrode of the cell has voltage signal extraction portions respectively corresponding to the voltage monitoring elements with the voltage signal extraction portions and the voltage monitoring elements being respectively connected to each other by different conductors.
If a protection voltage (or setting voltage value) is continuously exceeded for two times (three times) by all of the voltage values of a battery block that are read periodically at a period of 250 ms, it is determined that the voltage of the battery block exceeds the protection voltage value of 4.32 V (or setting voltage value of 4.30 V) so that a target value of charging current is reduced to 0.1 C (or reduced to a value smaller than the currently-set target value) at the first determination. Every when it is detected that the voltage value exceeds the setting voltage value, the target value is reduced at a predetermined reduction rate. As a result, charging current is exponentially reduced whereby keeping the charging operation. At the second determination where the voltage of the battery block exceeds the protection voltage, a circuit breaker cuts off the charging current.
An electric power charge and discharge system for an electronic device having a battery, by which the electronic device can be used for a long period of time. In a wireless communication device including a wireless driving portion including a first battery and a wireless charging portion including a second battery, the first battery is charged by electric power from a fixed power supply and the second battery is charged by using electromagnetic waves existing in an external space. Further, the first battery and the second battery are discharged alternately, and during a period in which the first battery is discharged, the second battery is charged.
A motor control device includes an inverter circuit including a plurality of switching elements connected into a three-phase bridge configuration and converting a direct current into a three-phase alternate current, a current detecting element connected to a direct current side of the inverter circuit, thereby generating a signal corresponding to a current value, a PWM signal generating unit which determines a rotor position based on phase currents of the motor and generates a three-phase PWM signal pattern so that the signal pattern follows the rotor position, and a current detecting unit detecting phase currents based on the signal generated by the current detecting element and the PWM signal pattern. The PWM signal generating unit generates the three-phase PWM signal pattern so that the current detecting unit is capable of detecting two phase currents in synchronization with advent of two fixed time-points within a carrier period of the PWM signal respectively.
A motor-drive circuit includes: a filter circuit to attenuate a frequency band including a resonance frequency of an actuator in a target-current signal, the target-current signal corresponding to a digital signal indicative of a target value of a driving current; a digital-analog converter to convert an output signal of the filter circuit into an analog signal, to be outputted as a current-control signal; and a driving circuit to supply the driving current to the voice-coil motor in accordance with the current-control signal, the filter circuit including: a digital notch filter; and a digital low-pass filter, wherein either one of the digital notch filter and the digital low-pass filter configured to be inputted with the target-current signal, the other one of the digital notch filter and the digital low-pass filter configured to be inputted with an output signal of the one of the digital notch filter or the digital low-pass filter.
A servomotor includes a motor unit generating movement of a mechanical component, a position-detecting unit detecting the position of the mechanical component, and a control unit manually controlling the mechanical component. The manual control unit is inside a main enclosure, the manual control unit is actuated by an actuator located outside the main enclosure, and the main enclosure is connected to the motor unit and to the position-detecting unit, The position-detecting unit and the motor unit are located inside first and second enclosures that are distinct from the main enclosure and are removably connected to the main enclosure. The manual control unit can be actuated when the first and second enclosures are separated from the main enclosure.
Systems and methods for monitoring partial discharge within a remotely located and inaccessible electric motor. One embodiment comprises a downhole electric motor of an electric submersible pump system positioned in a well. The motor includes a partial discharge monitoring system coupled to the motor's power terminals. The partial discharge monitoring system includes a filter subsystem, a processing subsystem, and a communication subsystem. The filter subsystem allows higher frequency signals to pass from the terminals to the processing subsystem. The processing subsystem identifies signal constituents that are associated with partial discharge, and may perform various types of processing or analysis of the signal received from the processing subsystem. The partial discharge information generated by the processing subsystem is passed to the communication subsystem, which communicates the information to remotely located surface equipment. The subsystems of the partial discharge monitoring system are configured to operate in a high-pressure, high-temperature downhole environment.
An on-axis rf power coupler for a superconducting particle accelerator includes a coaxial coupler tube that passes through a rf waveguide stub connected to a rf power source. The coupler tube is movable in translation along the axis of the beam path by a piezoelectric drive to permit variation of the coupling between the rf power source and the resonant signal in the accelerator. A tubular rf window extending through the waveguide stub, together with a vacuum bellows assembly connected to the coupler tube, isolate the vacuum inside the accelerator cavity from the vacuum in the rf waveguide and stub. A choke joint in the wall of the waveguide selectively passes unwanted HOM signals out of the waveguide stub and away from the accelerator cavity, where they are dissipated by ferrite tiles on the coupler tube. The upstream end of the coupler tube and a tubular extension of the accelerator cavity form a coaxial line for introducing rf power into the accelerator. Further, the upstream end of the coupler tube separates the rf signal in the cavity from unwanted HOM signals and diverts the latter through the choke joint for isolation and dissipation.
Disclosed herein are embodiments of failure alerting systems for LED lamps and LED lamps having the same. An illustrative failure alerting system comprises a photodetector configured to detect an actual light output from the at least one LED, a regulator configured to receive a signal from the photodetector when the actual light output is below a target light output and to increase a current to the at least one LED to maintain the target light output, and a low light output indicator configured to receive a signal from the photodetector when the actual light output remains below the target light output and to produce a low light output signal. A thermal sensor can also be included and configured to sense an operating temperature of the LED and to control the regulator to increase the current and the target light output to ensure the operating temperature does not exceed the target temperature.
A direct current to direct current converter includes: an input terminal; an output terminal having voltage higher than the input terminal; a coupled inductor boost cell including a coupled inductor connected to the input terminal, a switch connected to the coupled inductor, and an output diode connected to the output terminal; and a clamp and energy transfer cell including a clamp diode connected to the coupled inductor, a clamp capacitor connected to the clamp diode, and an energy transfer diode connected to the output diode.
A constant current control circuit with multiple outputs for a LED driver, the circuit including a single-output constant current power supply, and multiple output circuits, each output circuit comprising a current sharing circuit, a current sharing control circuit and a LED load. The LED load and the current sharing circuit are in series and form a series loop with a first terminal and a second terminal of the series loop connected to a first output terminal and a second output terminal of the constant current power supply.
A driver circuit includes a buck converter associated with each LED chain for supplying a load current thereto. The buck converter receives an input voltage and is configured to provide a supply voltage to the associated LED chain such that the resulting load current of the LED chain matches at least approximately a predefined reference current value. The driver circuit further includes a switching converter that receives a driver supply voltage from a power supply and provides, as an output voltage, the input voltage for the buck converters. The switching converter is configured to provide an input voltage to the buck converters such that the maximum of the ratios between the input voltage and the supply voltages provided to the LED chains matches a predefined tolerance reference ratio.
A lighting system converter circuit of a lamp power converter to selectively operate a plurality of lamps connected thereto is provided. The lighting system converter circuit includes a first impedance circuit and a second impedance circuit. Each impedance circuit includes an input terminal, an impedance component, and a switching network. The impedance components are each configured to connect in series with the lamps. Each input terminal is configured to receive a control signal that indicates a state of a switch. Each control signal has a first logic level, indicating the switch is non-conductive, and a second logic level, indicating the switch is conductive. Each switching network is connected to its respective input terminal and in parallel with its respective impedance component, and is configured to selectively operate between a conductive state and a non-conductive state, as a function of the logic level of its respective control signal.
A fluorescent tube driving device including a first voltage converter, a second voltage converter, an oscillating unit, an inverter, an adjusting unit, a switching unit and a voltage stabilizing unit is provided. The first and the second voltage converters convert an alternating-current (AC) voltage to a first direct-current (DC) voltage and a second DC voltage. The oscillating unit is charged by the first DC voltage to generate a charging voltage and a trigger signal. The adjusting unit is charged by the charging voltage to generate a power supply signal. The switching unit transmits the second DC voltage according to the power supply signal. The voltage stabilizing unit stabilizes the voltage from the switching unit. Both of the oscillating unit and the adjusting unit are charged at a delay speed to synchronously transmit the operation voltage and the trigger signal to a controller in the inverter.
The present invention discloses a lighting apparatus that includes a plurality of parallel circuits and a common circuit. The parallel circuits each comprise a switching transistor and a set of LEDs, the sets of LEDs having different characteristics such as different light output wavelengths. In operation, one of the parallel circuits is selected by activating the corresponding switching transistor, thus selecting the respective LEDs to be activated. The common circuit also comprises a set of LEDs, these LEDs being activated no matter which parallel circuit is selected. In various implementations, the lighting apparatus can generate a wide spectrum of light outputs by selectively activating the plurality of parallel circuits within time slots of a duty cycle. In some cases, balancing of loads across the parallel circuits is desired to maintain the appropriate current flowing through the LEDs.
An LED lighting device includes a first luminescent device for providing light according to a first current, a second luminescent device coupled in series to the first luminescent device for providing light according to a second current, an impedance device for limiting the second current within a predetermined range when a voltage established across the first luminescent device and the second luminescent device exceeds a first predetermined value, and a two-terminal current controller coupled in parallel with the first luminescent device and in series to the second luminescent device and configured to regulate the second current according to a voltage established across the two-terminal current controller.
A flat panel display apparatus includes: a first substrate, a second substrate opposite the first substrate and including a display region in which a plurality of pixels are formed, and a sealing member between the first substrate and the second substrate; the sealing member bonds the first substrate and the second substrate to each other. The sealing member overlaps at least a part of outermost pixels of the display region.
There is provided a light emitting device which enables a color display with good color balance. A triplet compound is used for a light emitting layer of an EL element that emits red color, and a singlet compound is used for a light emitting layer of an EL element that emits green color and a light emitting layer of an EL element that emits blue color. Thus, an operation voltage of the EL element emitting red color may be made the same as the EL element emitting green color and the EL element emitting blue color. Accordingly, the color display with good color balance can be realized.
The invention relates to a polychromatic electronic display device with an electroluminescent screen, particularly with organic light-emitting diodes (OLEDs), wherein the polychromatic electronic display device comprises an electroluminescent emission surface and, towards the inside of the device, at least one substrate (2) coated with a pixel matrix, said device including a stack of a plurality of electroluminescent cells (UI and Ue) in which each pixel comprises at least three sub-pixels having different colours. According to the invention, for each pixel, the sub-pixel having the lowest emission wavelength λc, or critical sub-pixel, is exclusively located in the external unit (Ue) adjacent to said emission surface, each of the other sub-pixels emitting at a wavelength higher than λc being exclusively located in an internal unit (UI) relative to said external unit and adjacent to the substrate, the surface area of this critical sub-pixel being higher than that of each of the other sub-pixels.
Provided are a polarizer and an organic light-emitting display device including the same. The organic light-emitting display device may be protected by enabling the polarizer to effectively absorb external impact.
A light-emitting device comprises a substrate; a light-emitting layer formed on the substrate; a transparent electrode layer formed on the light-emitting layer, the transparent electrode layer having a curved surface; and a reflective layer formed on and along the curved surface of the transparent electrode layer such that the curved surface of the transparent electrode layer is transferred so as to reflect the light generated from the light-emitting layer toward the light-emitting layer.
A motor device includes a rotor, a transmission member wound around at least a portion of an outer periphery of the rotor, a moving part connected to the transmission member to move the transmission member, and a control unit which makes the moving part perform the driving operation of moving the transmission member by a predetermined distance in a state where a torque transmission state is brought between the rotor and the transmission member, and the returning operation of returning the transmission member to a predetermined position in a state where the torque transmission state is released.
A microstepper motor which comprises two electromechanical drive elements, especially piezoelectric bending actuators, having effective directions that are perpendicular to each other. These actuators act upon a drive ring to thereby rotate a shaft. The actuators are non-radially hinged to the drive ring via an approximately square shear-flexible and torsion-proof frame structure, the drive ring being arranged inside said frame. The drive according to the invention is compact, the frame and the drive ring being configured as a single injection-molded drive module.
A component that operates with acoustic waves includes a substrate including a piezoelectric material, a first electrode plane in which bottom electrode structures including an acoustically active bottom electrode are arranged directly on the substrate, and a top electrode arranged above the bottom electrode plane and which is electrically conductively connected to the bottom electrode structures, wherein excitation of the acoustic waves during operation of the component is effected exclusively or predominantly through the bottom electrode structures.
An electric machine with a stator and a rotor. The rotor core is formed by a stack of laminations with the laminations being generally planar and oriented substantially perpendicular to the rotational axis. One of the laminations includes an axially projecting tab. An electically conductive end ring is located at an axial end of the rotor core and is in communication with a plurality of axially extending conductor bars on the rotor core. The tab is coupled with the end ring and resists a portion of the centrifugal forces acting on the end ring during rotation of the rotor. A plurality of such tabs may be used to provide support to the end ring. A method of manufacture is also disclosed.
A rotor assembly with a cooling mechanism having first and second channels is provided. The rotor assembly includes a shaft having a hollow portion and a rotor core having at least one rotor stack positioned at least partially around the shaft. The rotor core has a first end and a second end. The rotor stack forms an interior cavity which is only partially filled with a permanent magnet so as to define a gap in the rotor stack. The first channel is configured to direct fluid flow in a generally radial direction from the hollow portion of the shaft. The second channel is at least partially defined by the gap in the interior cavity of the rotor stack and is configured to direct the fluid flow from the first channel to at least one of the first and second ends of the rotor core.
The adjusting drive for an adjustable part of a piece of furniture such as a hospital bed or nursing bed includes a housing, and a gear transmission arranged in the housing. The drive includes a rotatable drive element, a driven element, and a motor having a drive shaft for rotationally driving said drive element. The adjusting drive is further provided with a displacement sensor for detecting the position of said driven element. The drive element is arranged between two substantially opposite gears which are mechanically contacted by the drive element. The first gears is coupled to the driven element, and the second gear is coupled to said displacement sensor.
A machine includes a high voltage element and an enclosure surrounding the high voltage element. The enclosure includes a first insulating insert disposed at least partially within a first wall and being electrically non-conductive and surrounding a first conductive insert and an enclosure cover formed at least partially of an electrically non-conducting substrate and having a first opening formed therein sized to allow a first fastener or an extension of the first conductive insert to pass through it. Removal of the enclosure cover causes the high high-voltage element to cease operating.
A power tool includes a shaft rotatable about an axis and configured to drive a tool element, a motor armature, a first field coil, and a second field coil. First and second symmetrical stack parts each include a pole piece that is configured to receive respective first and second field coils. The first field coil is coupled to the pole piece of the first stack part such that portions of the first field coil extend past pole tips of the first stack part, and the second field coil is coupled to the pole piece of the second stack part such that portions of the second field coil extend past pole tips of the second stack part. The first stack part is coupled to the second stack part such that the first stack part and the second stack part together define an aperture that surrounds the shaft axis.
Consistent with example embodiment, a DC-DC converter is adapted to supply a MEMS device comprising an input for receiving a DC voltage (Vs), an output for transmitting a supplied voltage (V1) to the MEMS device. The DC-DC converter further comprises a biasing circuit (MNBC) for biasing a first node (Vmi) and a second node (Vme) with a first biasing voltage (Vm1) and a second biasing voltage (Vm2).
A variable power device in a form of a power strip includes a plurality of power outlets for powering electrical devices connected thereto. A current sensing circuit is electrically coupled to each power outlet and senses current flowing through the power outlet and drawn by an electrical device connected to the outlet. A power control circuit selectively provides one of a standby mode power signal and an active mode power signal to a respective power outlet in response to the feedback signal from the current sensing circuit associated with the power outlet.
A system includes a structure configured to exchange the energy wirelessly via a coupling of evanescent waves. The structure is non-radiative, and generates an electromagnetic (EM) near-field in response to receiving the energy. The structure is configured to exchange the energy when the structure is in the resonant mode. The system also includes a tuning module configured to transition the structure in and out of the resonant mode based on an instruction, an energy monitor module configured to determine the instruction based on information indicative of the energy stored and/or exchange by the structure, and a transceiver configured to transmit and/or to receive the instruction.
An aspect of the present invention relates to a method of restricting power consumption of an electronic device. The method includes a request reception step of receiving a power consumption restriction request including a restricted power consumption value and a response transmission step of sending a response to the power consumption restriction request on the basis of the restricted power consumption value and a required power consumption value necessary for an operation.
The invention essentially concerns an aircraft seat (1), comprising control units (17.2, 22.2, 27), at least one node (11-15) to execute a particular action or function, and a display (22.1) for viewing video data. Said node (11-15) and said display (22.1) are capable of being actuated by the control units (17.2, 22.2, 27). A keyboard (17.1) for transmitting a command signal addressed to the control units (17.2, 22.2, 27) is connected to said control units (17.2, 22.2, 27). The control units are shared between the display (22.1), the key board (17.1) and the node (11-15).
Some embodiments relate to an energy storage and generation system, comprising a cable system having a first end portion located at a first elevation and a second end portion located at a second elevation, a plurality of mass carriers supported by the cable system, one or more motor generators coupled with the cable system and with an energy grid, a control system in communication with at least the one or more motor generators, a first mass pile area configured to store mass medium positioned at the first elevation, and a second mass pile area configured to store mass medium positioned at the second elevation that can be higher than the first elevation. The one or more motor generators can move the cable system in an energy storing state and be moved by the cable in an energy generating state. The system can store energy by transferring mass medium from the first mass pile area to the second mass pile area, and can generate energy by transferring mass medium from the second mass pile area to the first mass pile area.
An alignment mark may include: an elongate pattern having first and second end portions and a central portion located between the first and second end portions, wherein at least one of the first and second end portions has a larger width than the central portion.
A semiconductor device package is provided. The semiconductor device package includes a package body; a plurality of electrodes including a first electrode on the package body; a paste member on the first electrode and including inorganic fillers and metal powder; and a semiconductor device die-bonded on the paste member, wherein a die-bonding region of the first electrode includes a paste groove having a predetermined depth and the paste member is formed in the paste groove.
A packaged semiconductor device comprises a package substrate comprising a first package substrate contact and a second package substrate contact, and a semiconductor die over the package substrate. The semiconductor device further includes electrical connections between signal contact pads of the die and the package substrate, and a heat spreader that comprises a first heat spreader portion which is electrically connected to a first signal contact pad and the first package substrate contact and provides an electrical conduction path and a thermal conduction path. A second heat spreader portion provides an electrical conduction path between a second signal contact pad and the second package substrate contact and a thermal conduction path between the die and package substrate. An insulating layer is positioned between the first and second heat spreader portions.
A chip structure comprising a substrate, a plurality of wire bonding pads and a plurality of solder pads is provided. Gold bumps or gold pads can be formed on the wire bonding pads while solder bumps can be formed on the solder pads concurrently. Alternatively, both wire bonding pads and solder pads can be formed of the same metal stack.
The present invention relates to a semiconductor-encapsulating adhesive, a semiconductor-encapsulating film-form adhesive, a method for producing a semiconductor device, and a semiconductor device. The present invention provides a semiconductor-encapsulating adhesive comprising (a) an epoxy resin, and (b) a compound formed of an organic acid reactive with an epoxy resin and a curing accelerator.
A semiconductor integrated circuit device includes plural circuit units each having plural logic circuits; and plural power terminals supplying power source from outside to the semiconductor integrated circuit device, in which the plural circuit units each having plural logic circuits have common packaging design with each other, and lengths in a vertical direction and a lateral direction of the circuit units each having plural logic circuits are equal to an even multiple of a distance between the power terminals adjacent to each other.
A semiconductor device including a silicon substrate, a plurality of silicon nanowire clusters, a first circuit layer and a second circuit layer. The silicon substrate has a first surface, a second surface opposite to the first surface and a plurality of through holes. The silicon nanowire clusters are disposed in the through holes of the silicon substrate, respectively. The first circuit layer is disposed on the first surface and connected to the silicon nanowire clusters. The second circuit layer is disposed on the second surface and connected to the silicon nanowire clusters.
A high voltage bipolar transistor with shallow trench isolation (STI) comprises the areas of a collector formed by implanting first electric type impurities into active area and connected with pseudo buried layers at two sides; Pseudo buried layers which are formed by implanting high dose first type impurity through the bottoms of STI at two sides if active area, and do not touch directly; deep contact through field oxide to contact pseudo buried layers and pick up the collectors; a base deposited on the collector by epitaxial growth and in-situ doped by second electric type impurity, in which the intrinsic base touches local collector and extrinsic base is used for base pick-up; a emitter which is a polysilicon layer deposited on the intrinsic base and doped with first electric type impurities. This invention makes the depletion region of collector/base junction from 1D (vertical) distribution to 2D (vertical and lateral) distribution. The bipolar transistor's breakdown voltages are increased by only enlarge active critical dimension (CD). This is low-cost process.
Disclosed are embodiments of a circuit and method for electroplating a feature (e.g., a BEOL anti-fuse device) onto a wafer. The embodiments eliminate the use of a seed layer and, thereby, minimize subsequent processing steps (e.g., etching or chemical mechanical polishing (CMP)). Specifically, the embodiments allow for selective electroplating metal or alloy materials onto an exposed portion of a metal layer in a trench on the front side of a substrate. This is accomplished by providing a unique wafer structure that allows a current path to be established from a power supply through a back side contact and in-substrate electrical connector to the metal layer. During electrodeposition, current flow through the current path can be selectively controlled. Additionally, if the electroplated feature is an anti-fuse device, current flow through this current path can also be selectively controlled in order to program the anti-fuse device.
Provided is a method of fabricating an image sensor device. The method includes providing a device substrate having a front side and a back side. The method includes forming first and second radiation-sensing regions in the device substrate, the first and second radiation-sensing regions being separated by an isolation structure. The method also includes forming a transparent layer over the back side of the device substrate. The method further includes forming an opening in the transparent layer, the opening being aligned with the isolation structure. The method also includes filling the opening with an opaque material.
A MEMS component includes a substrate in which at least one cavity is present. The cavity is closed off toward an active side of the substrate. An inactive side is arranged opposite the active side of the substrate, and the substrate is covered with a covering film on the inactive side.
A lateral bipolar junction transistor includes an emitter region; a base region surrounding the emitter region; a gate disposed at least over a portion of the base region; and a collector region surrounding the base region; wherein the portion of the base region under the gate does not under go a threshold voltage implant process.
A static random-access memory circuit includes at least one access device including source and drain sections for a pass region, at least one pull-up device and at least one pull-down device including source-and-drain sections for a pull-down region. The static random-access memory circuit is configured with external resistivity (Rext) for the pull-down region to be lower than Rext for the pass region. Processes of achieving the static random-access memory circuit include source-and-drain epitaxy.
A high voltage/power semiconductor device using a low voltage logic well is provided. The semiconductor device includes a substrate, a first well region formed by being doped in a first location on a surface of the substrate, a second well region formed by being doped with impurity different from the first well region's in a second location on a surface of the substrate, an overlapping region between the first well region and the second well region where the first well region and the second well region substantially coexist, a gate insulating layer formed on the surface of the first and the second well regions and the surface of the overlapping region, a gate electrode formed on the gate insulating layer, a source region formed on an upper portion of the first well region, and a drain region formed on an upper portion of the second well region. The semiconductor device may also include a separating unit, which is formed in the second well region on the drain side and may be formed as a shallow trench isolation (STI) region having a lower depth than the second well region.
A nonvolatile memory device and a method of manufacturing thereof are provided. The method includes forming a floating gate on a substrate, forming a dielectric layer to conform to a shape of the floating gate, forming a conductive layer to form a control gate on the substrate, the control gate covering the floating gate and the dielectric layer, forming a photoresist pattern on one side of the conductive layer, forming the control gate in the form of a spacer to surround sides of the floating gate, the forming of the control gate including performing an etch-back on the conductive layer until a portion of the dielectric layer on the floating gate is exposed, and forming a poly pad, to which a plurality of contact plugs are connected, on one side of the control gate, the forming of the poly pad including removing the photoresist pattern.
A method of making a semiconductor structure includes forming at least a first trench and a second trench having different depths in a substrate, forming a capacitor in the first trench, and forming a via in the second trench. A semiconductor structure includes a capacitor arranged in a first trench formed in a substrate and a via arranged in a second trench formed in the substrate. The first and second trenches have different depths in the substrate.
A nonvolatile memory (“NVM”) bitcell with one or more active regions capacitively coupled to the floating gate but that are separated from both the source and the drain. The inclusion of capacitors separated from the source and drain allows for improved control over the voltage of the floating gate. This in turn allows CHEI (or IHEI) to be performed with much higher efficiency than in existing bitcells, thereby the need for a charge pump to provide current to the bitcell, ultimately decreasing the total size of the bitcell. The bitcells may be constructed in pairs, further reducing the space requirements of the each bitcell, thereby mitigating the space requirements of the separate capacitor/s. The bitcell may also be operated by CHEI (or IHEI) and separately by BTBT depending upon the voltages applied at the source, drain, and capacitor/s.
There is provided a high frequency semiconductor switch for improving insertion loss characteristics and harmonic characteristics by providing good voltage distribution in a gate wiring. The field effect transistor includes a source wiring electrically connected to a source region formed on a substrate and extending unidirectionally; a drain wiring electrically connected to a drain region formed on the substrate and extending in parallel with the source wiring; a gate having a parallel portion extending between the source wiring and the drain wiring in approximately parallel with the source wiring and the drain wiring; a gate wiring applying voltage to the gate; and a gate via electrically connecting the gate to the gate wiring, the parallel portion including two ends and formed with a path applying voltage to each of the two ends from the gate via.
One illustrative device disclosed herein includes a substantially un-doped layer of a semiconductor material positioned above a semiconducting substrate, a device isolation structure, at least a portion of which is positioned in a trench that extends through the substantially un-doped semiconductor material and into the substrate, a plurality of outer fins and at least one inner fin defined in the substantially un-doped layer of semiconductor material, wherein the at least one inner fin is positioned laterally between the plurality of outer fins and wherein a width of a bottom of each of the plurality of outer fins is greater than a width of a bottom of the inner fin, and a gate electrode positioned around at least a portion of the plurality of outer fins and the inner fin.
A method of manufacturing a metal silicide is disclosed below. A substrate having a first region and a second region is proviced. A silicon layer is formed on the substrate. A planarization process is performed to make the silicon layer having a planar surface. A part of the silicon layer is removed to form a plurality of first gates on the first region and to form a plurality of second gates on the second region. The height of the first gates is greater than the height of the second gates, and top surfaces of the first gates and the second gates have the same height level. A dielectric layer covering the first gates and the second gates is formed and exposes the top surfaces of the first gates and the second gates. A metal silicide is formed on the top surfaces of the first gates and the second gates.
A heterojunction filed effect transistor with a low access resistance, a low on resistance, and the like, a method for producing a heterojunction filed effect transistor and an electron device are provided. In the heterojunction field effect transistor, an electron transit layer 11 formed of a III-nitride semiconductor is formed on a substrate 10, an electron supply layer 12 formed of a III-nitride semiconductor forms a heterojunction with an upper surface of the electron transit layer 11, a gate electrode 14, a source electrode 15A, and a drain electrode 15B are arranged on the electron supply layer 12, n-type conductive layer regions 13A and 13B each extended from an upper part of the electron transit layer 11 to an upper surface of the electron supply layer 12 are provided in at least a part below the source electrode 15A and a part below the drain electrode 15B, and an n-type impurity concentration at a heterojunction interface of an electron transit layer 11 part of each of the n-type conductive layer regions 13A and 13B with the electron supply layer 12 is 1×1020 cm−3 or more.
Memory devices, such as DRAM memory devices, may include one or more metal layers above a local interconnect of the DRAM memory that make contact to lower gate regions of the memory device. As the size of semiconductor components decreases and circuit densities increase, the density of the metal routing in these upper metal layers becomes increasingly difficult to fabricate. By providing additional metal routing in the lower gate regions that may be coupled to the upper metal layers, the spacing requirements of the upper metal layers may be eased, while maintaining the size of the semiconductor device. In addition, the additional metal routing formed in the gate regions of the memory devices may be disposed parallel to other metal contacts in a strapping configuration, thus reducing a resistance of the metal contacts, such as buried digit lines of a DRAM memory cell.
A power semiconductor device includes: a drain region of a first conductive type; a drift region of a first conductive type formed on the drain region; a first body region of a second conductive type formed below an upper surface of the drift region; a second body region of a second conductive type formed below the upper surface of the drift region and in the first body region; a third body region of a second conductive type formed by protruding downwards from a lower end of the first body region; a source region of a first conductive type formed below the upper surface of the drift region and in the first body region; and a gate insulating layer formed on channel regions of the first body region and on the drift region between the first body regions.
A sealing film forming method is capable of forming a sealing film having high moisture permeability resistance in a shorter time and at lower cost. The sealing film forming method for forming a sealing film 13 that seals an EL device 12 includes forming a first inorganic layer 13a on a surface of the EL device 12; forming a hydrocarbon layer 13c on the first inorganic layer 13a; flattening the hydrocarbon layer 13c by softening or melting the hydrocarbon layer 13c; curing the hydrocarbon layer 13c; and forming a second inorganic layer 13e thicker than the first inorganic layer 13a on the hydrocarbon layer 13c after curing the hydrocarbon layer 13c.
A substrate structure is described, including a starting substrate, crystal piers on the starting substrate, and a mask layer. The mask layer covers an upper portion of the sidewall of each crystal pier, is connected between the crystal piers at its bottom, and is separated from the starting substrate by an empty space between the crystal piers. An epitaxial substrate structure is also described, which can be formed by growing an epitaxial layer over the above substrate structure form the crystal piers. The crystal piers may be broken after the epitaxial layer is grown.
A light emitting diode includes a transparent substrate and a GaN buffer layer on the transparent substrate. An n-GaN layer is formed on the buffer layer. An active layer is formed on the n-GaN layer. A p-GaN layer is formed on the active layer. A p-electrode is formed on the p-GaN layer and an n-electrode is formed on the n-GaN layer. A reflective layer is formed on a second side of the transparent substrate. Also, a cladding layer of AlGaN is between the p-GaN layer and the active layer.
A solid state energy conversion device and method of making is disclosed for converting energy between electromagnetic and electrical energy. The solid state energy conversion device comprises a wide bandgap semiconductor material having a first doped region. A thermal energy beam is directed onto the first doped region of the wide bandgap semiconductor material in the presence of a doping gas for converting a portion of the first doped region into a second doped region in the wide bandgap semiconductor material. In one embodiment, the solid state energy conversion device operates as a light emitting device. In another embodiment, the solid state energy conversion device operates as a photovoltaic device.
Provided is an organic light-emitting display device. The organic light-emitting display device includes: a substrate; a buffer layer formed on the substrate; a gate insulating layer formed on the buffer layer; a conductive layer formed on the gate insulating layer; and a pixel defined layer exposing a portion of the conductive layer to form a pad portion connected to bumps of a drive integrated circuit (IC) chip, wherein protrusions and recesses are formed on a surface of the conductive layer.
There is provided a peeling method capable of preventing a damage to a layer to be peeled. Thus, not only a layer to be peeled having a small area but also a layer to be peeled having a large area can be peeled over the entire surface at a high yield. Processing for partially reducing contact property between a first material layer (11) and a second material layer (12) (laser light irradiation, pressure application, or the like) is performed before peeling, and then peeling is conducted by physical means. Therefore, sufficient separation can be easily conducted in an inner portion of the second material layer (12) or an interface thereof.
An organic light emitting display apparatus includes a substrate on which a display area and a non-display area are defined, a first electrode on the substrate, an intermediate layer on the first electrode, the intermediate layer includes an organic emission layer, a second electrode on the intermediate layer, a plurality of pad units on the non-display area, and an insulating layer on the pad units. The insulating layer includes contact holes overlapping upper surfaces of the pad units and grooves adjacent to the contact holes.
A pixel structure includes a substrate, a gate line and a gate electrode disposed on the substrate, an insulating layer covering the substrate, a semiconductor layer disposed on the insulating layer, a data line, a source electrode, and a drain electrode which are disposed on the insulating layer and the semiconductor layer, a planarization layer disposed on the data line, the source electrode, and the drain electrode, and a pixel electrode disposed on the planarization layer. The planarization layer has a through hole exposing the drain electrode. The pixel electrode is electrically connected to the drain electrode via the through hole and includes an opaque main electrode and a plurality of transparent branch electrodes disposed on the planarization layer. One end of each transparent branch electrode is electrically connected to the opaque main electrode.
There has been such a problem that radiation detecting elements using semiconductor elements have a low radiation detection efficiency, since the radiation detecting elements easily transmit radiation, even though the radiation detecting elements have merits, such as small dimensions and light weight. Disclosed are a radiation detecting element and a radiation detecting device, wherein a film formed of a metal, such as tungsten, is formed on the radiation incident surface of the radiation detecting element, and the incident energy of the radiation is attenuated. The efficiency of generating carriers by way of radiation incidence is improved by attenuating the incident energy, the thickness of the metal film is optimized, and the radiation detection efficiency is improved.
According to an embodiment, a method for measuring an impurity concentration profile uses a wafer including a semiconductor layer. The method includes measuring an impurity concentration profile in a depth direction from each surface of a plurality of first portions, each of the first portions being included in any one of a plurality of first regions provided in the semiconductor layer. Each of the first regions has a different size and is surrounded by a second region including a second portion having a different structure from the first portion. The method includes determining a change between the impurity concentration profiles measured in the first regions.
An apparatus is provided. In the apparatus, there is comprises a substrate with a first region of a first conductivity type, a second region of a second conductivity type that is substantially surrounded by the first region, and a third region of the second conductivity type that is substantially surrounded by the second region. A first dielectric layer is formed over the substrate, and a first conductive layer is formed over the first dielectric layer, which is configured to form a first electrode of a capacitor. A second dielectric layer is formed over the first conductive layer. A plate is formed over the second dielectric layer so as to form a second electrode of the capacitor. A cap is formed over the second dielectric layer, being spaced apart from the plate. A via is electrically coupled to the cap and the third region, extending through the first and second dielectric layers.
A multi-gate transistor includes a semiconductor fin over a substrate. The semiconductor fin includes a central fin formed of a first semiconductor material; and a semiconductor layer having a first portion and a second portion on opposite sidewalls of the central fin. The semiconductor layer includes a second semiconductor material different from the first semiconductor material. The multi-gate transistor further includes a gate electrode wrapping around sidewalls of the semiconductor fin; and a source region and a drain region on opposite ends of the semiconductor fin. Each of the central fin and the semiconductor layer extends from the source region to the drain region.
According to one embodiment, a semiconductor light emitting device includes an n-type semiconductor layer, a p-type semiconductor layer, and a light emitting portion. The light emitting portion is provided between the semiconductor layers and includes barrier layers and well layers alternately stacked. An n-side end well layer which is closest to the n-type semiconductor layer contains InwnGa1-wnN and has a layer thickness twn. An n-side end barrier layer which is closest to the n-type semiconductor layer contains InbnGa1-bnN and has a layer thickness tbn. A p-side end well layer which is closest to the p-type semiconductor layer contains InwpGa1-wpN and has a layer thickness twp. A p-side end barrier layer which is closest to the p-type semiconductor contains InbpGa1-bpN and has a layer thickness tbp. A value of (wp×twp+bp×tbp)/(twp+tbp) is higher than (wn×twn+bn×tbn)/(twn+tbn) and is not higher than 5 times (wn×twn+bn×tbn)/(twn+tbn).
A method of forming a non-volatile resistive oxide memory cell includes forming a first conductive electrode of the memory cell as part of a substrate. Insulative material is deposited over the first electrode. An opening is formed into the insulative material over the first electrode. The opening includes sidewalls and a base. The opening sidewalls and base are lined with a multi-resistive state layer comprising multi-resistive state metal oxide-comprising material which less than fills the opening. A second conductive electrode of the memory cell is formed within the opening laterally inward of the multi-resistive state layer lining the sidewalls and elevationally over the multi-resistive state layer lining the base. Other aspects and implementations are contemplated.
A memory element and method of forming the same. The memory element includes a substrate supporting a first electrode, a dielectric layer over the first electrode having a via exposing a portion of the first electrode, a phase change material layer formed over sidewalls of the via and contacting the exposed portion of the first electrode, insulating material formed over the phase change material layer and a second electrode formed over the insulating material and contacting the phase change material layer.
A rollable structure for suspending a heavy radiation protective garment so as to allow easy movement in a clinical environment subject to exposure to x-radiation. The structure comprises a vertically extending frame that is attachable to a user, and that permits the user to move freely around the clinical environment and to perform clinical duties without having to bear the weight of the shielding garment. The frame is vertically adjustable, and supports upper shield support surfaces for supporting the shoulder portions of a radiation protection apron. The upper support surfaces extend outwardly from the vertical frame. A rollable lower support frame for the vertical support frame is provided that includes a first lower frame extending forwardly from the vertically extending frame. A second lower frame for supporting the vertically extending frame is located at a position other than forwardly of the vertically extending frame. A pair of casters is provided at the lower end of each of the lower frames. A midbody attachment is provided for securing a flexible belt between the vertically extending framework and the use to allow the user to walk with the framework without the use of his hands.
The invention is directed to an improved sterilizing device (1) for sterilizing of a fluid by UV-radiation. The sterilizing device (1) has a modular setup with at least one flange (2, 3), an inner and an outer tube (4, 5) and comprises at least one UV-lamp (16) for emitting UV-radiation. A lamp tag (32) attached to or incorporated in the at least one UV-lamp (16) comprises information regarding the UV-lamp (16). The lamp tag (32) is interconnected with a lamp sensor unit (18) and/or a control unit (19) and may comprise sensors to control the sterilizing process.
The objective of the present invention is to eliminate noise caused by driving a ridge filter and to achieve a uniform dose distribution without making a patient sense discomfort or anxiety. There are provided a ridge filter having a thickness distribution in which the energy that a charged particle beam loses differs depending on the position thereon through which the charged particle beam passes, a deflector that deflects the charged particle beam, and a controller that controls the deflector in such a way that the charged particle beam passes through the thickness distribution of the ridge filter.
Methods and apparatuses for quality control in image space for processing with an input data set are disclosed. A method includes providing an image object, including multiple voxels, and an input data set. A data model is determined from the image object. A cumulative distribution function (CDF) for the input data set is determined from the data model and the input data set based on a plurality of projections. The CDF is transformed to an image cumulative distribution function (ICDF) in object space. The ICDF represents a number of standard deviations associated with each voxel of the image object. The output of the ICDF is displayed. A nuclear imaging system and a computer readable storage medium are also disclosed. Techniques disclosed herein facilitate efficient quality control for tomographic image reconstruction.
Evaporation methods and structures for depositing a scintillator film on a surface of a substrate. A radiation detection device including a doped lanthanum halide polycrystalline scintillator formed on a substrate.
A device for detecting infrared radiation comprising an array of bolometers for detecting radiation; and in order to read each bolometer, a signal shaping circuitry comprising: a circuitry capable of biasing the bolometer at a predetermined voltage in order to make current flow therethrough; a circuitry capable of generating a common-mode current; and a circuitry capable of integrating the difference between the current that flows through the bolometer and the common-mode current. According to the invention, the device comprises a circuitry capable of injecting current into each bolometer in order to shift its resistance by a predetermined quantity that depends on its offset, current injection being performed prior to readout biasing of the bolometer and the shift being performed according to the direction in which the bolometer's resistance varies as a function of temperature. In addition, correction circuitry is capable of shifting the resistances of bolometers towards a common value.
The present invention provides a sensing device and a display device utilizing the sensing device. A photo sensing element of the sensing device is alternatively operated in a biased state and a reverse-biased state to prevent the stress issue. Furthermore, the sensing device improves the S/N ratio by generating an output signal through an active component. The display device including the sensing device prevents the stress issue and improves the S/N ratio by using specific driving signals.
A system and method for optimizing at least one mirror of at least one CSP system is provided. The system has a screen for displaying light patterns for reflection by the mirror, a camera for receiving a reflection of the light patterns from the mirror, and a solar characterization tool. The solar characterization tool has a characterizing unit for determining at least one mirror parameter of the mirror based on an initial position of the camera and the screen, and a refinement unit for refining the determined parameter(s) based on an adjusted position of the camera and screen whereby the mirror is characterized. The system may also be provided with a solar alignment tool for comparing at least one mirror parameter of the mirror to a design geometry whereby an alignment error is defined, and at least one alignment unit for adjusting the mirror to reduce the alignment error.
A gun-fired projectile or ballistic missile (1) is equipped with a pair of canards (3) and an array of tail fins (2). Selected tail fins can be retracted or jettisoned following the ballistic phase to vary the geometry of the array from a rotationally symmetrical configuration to an asymmetric configuration for the glide phase, which together with the canards tends to stabilize the projectile in roll. The canards (3) can be independently extended and retracted with respect to the body of the projectile to generate differential lift for banking the projectile to turn. The canards (3) also preferably have a positive dihedral angle with respect to the intended gliding attitude. In an alternative embodiment the canards are replaced by functionally equivalent thrusters.
An exo-atmospheric intercepting method for intercepting in space multiple objects, including acquiring and tracking multiple inflated objects which fly towards a protected territory. The method further includes launching an interceptor missile accommodating a plurality of kill vehicles each hosting a plurality of punching objects and classifying the multiple objects into clusters. In respect of each cluster of objects, determining an ejection condition responsive to meeting of which a kill vehicle is ejected from the interceptor missile towards the cluster of objects and thereafter releasing from the kill vehicle a plurality of punching objects such that every inflated object in the cluster is likely, with a high degree of certainty, to be punched by one or more punching objects.
Provided is a heat treatment apparatus which, when simultaneously heating substrates placed on susceptors, is capable of controlling the uniformity of temperature within each substrate. The heat treatment apparatus includes: a reaction tube which performs predetermined treatment to wafers; a plurality of susceptors each of which has a mounting surface for mounting the wafer and is made of a conductive material; a rotatable quartz boat wherein the susceptors spaced apart in a direction perpendicular to the mounting surfaces are arranged and supported in the reaction tube; a magnetic field generating unit which is arranged on a sidewall of the processing chamber and includes a pair of electromagnets which generate an AC magnetic field in a direction parallel to the mounting surfaces of the susceptors and inductively heat the susceptors; and a control unit which controls the AC magnetic field generated by the magnetic field generating unit.
A cooking appliance includes an oven cavity and a control system having a control display adapted to display an interactive sequence of display screens through which a user enters a cooking recipe. The sequence of display screens includes a cooking mode selection screen, a cooking temperature selection screen, a cooking monitoring selection screen from which a user selects from a probe monitoring process or a timer monitoring process, and a review screen for prompting the user to review the cooking mode, cooking temperature, and method of monitoring selections. The system allows a user to add additional stages to create a multi-stage recipe.
A safe product transfer apparatus that heats refrigerated food products and then hold those heated food products in a hold drawer until they can be transferred to a heater merchandizer, preferably a roller grill as needed. The apparatus features a control assembly. A pre-heat indicator indicates when the preheat temperature has been reached so that the food products can be loaded into an operable drawer. The apparatus can heat a refrigerated product from 34° F. to greater than or equal to 140° F. in 35 minutes or less. The apparatus has a safe product transfer apparatus that has a heating cavity ranging from 250° F. to 425° F. The apparatus provides a safe product transfer apparatus that can hold the products stored therein at a safe holding temperature (ranging from greater or equal to 140° F. to not more than 205° F.), that is, not hot enough to continue heating or degrading the food product.
A heating device is formed from an electrically conducting, flexible resistance material of carbon fibers arranged between at least two electrodes provided for electrical contacting. The carbon fibers are stretch-broken carbon fiber yarns with a titer of 30 tex to 800 tex and a resistivity of 100 to 600 Ω/m.
A capacitive touch panel has precise etching lines formed on a transparent conductive film so as to form with a desired pattern. As a result, the hollowed portion on the conductive film will be reduced greatly and thus the flatness of the capacitance sensing structure will be improved and the transmittances in different areas will tend to be uniform. Thus the image distortion in the screen below the touch panel will be improved. Besides, the etching lines will divide the waste etching areas on the conductive lines into a plurality of small units which can reduce the capacitances of the noises.
A weighing device having a plurality of digital weighing cells (164), each including a sensor connected to a force transmission unit (163), each generating a digital measurement value corresponding to a transmitted force at a measurement time point, and including a data processing device that converts the digital measurement values to transmission units configured to be transmitted over a data communications line (20) to a central control unit (18) connected to all weighing cells for analysis of the transmission units. The central control unit (18) calculates a digital combination value based on the transmission units originating from the various weighing cells (164), the combination value representing a weight force with which the weighing device (16) is loaded at a weighing time point. The conversion of the measurement values to transmission units involves a pairing of each converted measurement value with a time value.
A method and structures are provided for implementing press-lock electromagnetic compatibility (EMC) gaskets. A gasket includes a plurality of fasteners received through a plurality of corresponding mounting apertures in a perforated support surface for precise placement, and retention without adhesives. The corresponding mounting apertures have a diameter smaller than 1/20th of a wavelength of the highest frequency to be suppressed to remain independent of the shielding required. The gasket defines a cavity receiving compliant foam, and includes an absorber.
A high speed video cable carries signals according to the High-Definition Multimedia Interface (HDMI) or DisplayPort standards, and includes a raw cable and may include a boost device. The raw cable includes coaxial lines of a characteristic cable impedance higher than the impedance implied in the standards. The correct impedance is observed at the sending end by shunt resistors mounted in the first cable connector. The resultant loss of signal may be made up with the boost device mounted in the connector at the other end of the cable in the case of a long cable.
A solar charging handbag for recharging electronic appliances such as cellular telephones, personal digital assistants (PDAs), digital cameras, and Digital Versatile Disc (DVD) players, MP3 players, gaming stations, and similar devices. A solar panel is connected to a charge control module and the electronic appliance is plugged into the charge control module. The charge control module may incorporate a rechargeable battery or battery pack.
A thin film type solar cell and a method for manufacturing the same is disclosed, which is capable of improving solar-ray transmittance and dispersion efficiency by the increased effective area for absorbing the solar ray through the use of substrate with a predetermined pattern having protrusions and depressions, wherein the method comprises preparing a substrate with a predetermined pattern having protrusions and depressions on its one surface; forming a front electrode on the substrate; forming a semiconductor layer on the front electrode; and forming a rear electrode on the semiconductor layer.
According to the invention, there is provided seed and plants of the corn variety designated CV959861. The invention thus relates to the plants, seeds and tissue cultures of the variety CV959861, and to methods for producing a corn plant produced by crossing a corn plant of variety CV959861 with itself or with another corn plant, such as a plant of another variety. The invention further relates to corn seeds and plants produced by crossing plants of variety CV959861 with plants of another variety, such as another inbred line. The invention further relates to the inbred and hybrid genetic complements of plants of variety CV959861.
A novel maize variety designated PH137Z and seed, plants and plant parts thereof. Methods for producing a maize plant that comprise crossing maize variety PH137Z with another maize plant. Methods for producing a maize plant containing in its genetic material one or more traits introgressed into PH137Z through backcross conversion and/or transformation, and to the maize seed, plant and plant part produced thereby. Hybrid maize seed, plant or plant part produced by crossing the variety PH137Z or a locus conversion of PH137Z with another maize variety.
According to the invention, there is provided seed and plants of the hybrid corn variety designated CH083866. The invention thus relates to the plants, seeds and tissue cultures of the variety CH083866, and to methods for producing a corn plant produced by crossing a corn plant of variety CH083866 with itself or with another corn plant, such as a plant of another variety. The invention further relates to genetic complements of plants of variety CH083866.
According to the invention, there is provided seed and plants of the hybrid corn variety designated CH382468. The invention thus relates to the plants, seeds and tissue cultures of the variety CH382468, and to methods for producing a corn plant produced by crossing a corn plant of variety CH382468 with itself or with another corn plant, such as a plant of another variety. The invention further relates to genetic complements of plants of variety CH382468.
The invention relates to the soybean variety designated A1026807. Provided by the invention are the seeds, plants and derivatives of the soybean variety A1026807. Also provided by the invention are tissue cultures of the soybean variety A1026807 and the plants regenerated therefrom. Still further provided by the invention are methods for producing soybean plants by crossing the soybean variety A1026807 with itself or another soybean variety and plants produced by such methods.
Novel gene sequences from microalgae are disclosed, as well as novel gene sequences useful in the manufacture of triglyceride oils. Also disclosed are sequences and vectors that allow microalgae to be cultivated on sugar cane and sugar beets as a feedstock. In some embodiments, the vectors are useful for the purpose of performing targeted modifications to the nuclear genome of heterotrophic microalgae.
Described herein are methods useful for producing proteins, such as enzymes, by agrofiltration. The methods involve producing an Agrobacterium with a Ti plasmid encoding a cellulase, infecting plant cells with the Agrobacterium, allowing expression of the cellulase, and recovering the cellulase from the plant cells. In one embodiment, the protein produced is an endoglucanase.
A new farnesene synthase was isolated from tomato. The farnesene synthase shows surprising properties with regard to the end products formed and its gene has, on a nucleotide level, low sequence identity with known farnesene synthase genes from other sources. The invention relates to isolated polynucleotides, polypeptides encoded by said polynucleotides, genetic constructs, vectors, hosts, in particular plants, harboring such polynucleotides, polypeptides and genetic constructs, and seed derived from such plants.
A novel maize variety designated X95C442 and seed, plants and plant parts thereof, produced by crossing Pioneer Hi-Bred International, Inc. proprietary inbred maize varieties. Methods for producing a maize plant that comprises crossing hybrid maize variety X95C442 with another maize plant. Methods for producing a maize plant containing in its genetic material one or more traits introgressed into X95C442 through backcross conversion and/or transformation, and to the maize seed, plant and plant part produced thereby. This invention relates to the maize variety X95C442, the seed, the plant produced from the seed, and variants, mutants, and minor modifications of maize variety X95C442. This invention further relates to methods for producing maize varieties derived from maize variety X95C442.
A disposable wearable absorbent article comprises a topsheet, an absorbent core, an outer cover, a waist opening, leg openings, a first visual fullness indicating area, and a second visual fullness indicating area. The first visual fullness indicating area is configured to change to a first subsequent color when indicating the presence of a bodily exudate. The second visual fullness indicating area is configured to change to a second subsequent color when indicating the presence of a bodily exudate. The second subsequent color is visually distinguishable from the first subsequent color. At least a portion of each of the visual fullness indicating areas is visible from outside of the disposable wearable absorbent article when the article is worn by a wearer.
An absorbent article comprising a malodor control composition having at least one volatile aldehyde and an acid catalyst, and methods of use thereof, are provided. The malodor control composition is suitable for a variety of absorbent articles, including use in diapers, toddler training pants, adult incontinence garments, sanitary napkins, pantiliners, interlabial devices, hemorrhoid pads, and the like.
The invention relates to a thin film dressing having a release liner which is able to support the dressing during application. The resilience or stiffness of the release liner is higher than the resilience or stiffness of the dressing so as to enable the control of the dressing during application. The invention further relates to a method of application of the thin film dressing where a minor part of the dressing initially is applied to the skin afterwards the dressing is folded backwards so the release liner faces upwards. Finally, the remaining part of the dressing is applied by pushing the release liner forwards in a rolling motion thereby rolling the dressing onto the skin. This dressing assembly allows the dressing to be applied by using only one hand.
Disclosed herein is a method for preparing a ceramic waste form containing radioactive rare-earth and transuranic oxide, and the ceramic waste form with enhanced density, heat-stability, and leach resistance prepared by the same.
The present invention relates to a catalyst for hydrocarbon steam cracking, a method of preparing the same, and a method of preparing olefin by the hydrocarbon steam cracking by using the catalyst, and more specifically, to a catalyst for hydrocarbon steam cracking for preparing light olefin including an oxide catalyst (0.5≦j≦120, 1≦k≦50, A is transition metal, and x is a number corresponding to the atomic values of Cr, Zr, and A and values of j and k) represented by CrZrjAkOx, wherein the composite catalyst is a type that has an outer radius r2 of 0.5R to 0.96R (where R is a radius of a cracking reaction tube), a thickness (t; r2−r1) of 2 to 6 mm, and a length h of 0.5r2 to 10r2, a method of preparing the same, and a method of preparing light olefins such as ethylene, propylene, etc., by performing the hydrocarbon steam cracking reaction in the presence of the composite catalyst. The present invention can provide catalysts for hydrocarbon steam cracking having high physical strength, excellent stability at high temperature, low non-activation due to coke, and improved yield and selectivity of light olefins.
A method for converting lignocellulosic biomass to a useful fuel is disclosed in a process sequence resulting in low levels of depositable tars in an output gas stream. One disclosed embodiment comprises performing a sequence of steps at elevated pressure and elevated hydrogen partial pressure, including fast (or flash) hydropyrolysis of a lignocellulosic biomass feed followed sequentially with catalytically enhanced reactions for the formation of methane operating at moderate temperatures of from about 400° C. to about 650° C. under moderately elevated pressure (about 5 atm to about 50 atm). A temperature rise in the catalyst above pyrolysis temperature is achieved without the addition of air or oxygen. Gas residence time at elevated temperature downstream of methane formation zones extends beyond the time required for methane formation. This sequence results in low tar deposit levels. The catalyst promotes preferential formation of methane and non-deposit forming hydrocarbons, and coke re-gasification.
One aspect of the present invention relates to ionic liquids comprising a pendant Bronsted-acidic group, e.g., a sulfonic acid group. Another aspect of the present invention relates to the use of an ionic liquid comprising a pendant Bronsted-acidic group to catalyze a Bronsted-acid-catalyzed chemical reaction. A third aspect of the present invention relates to ionic liquids comprising a pendant nucleophilic group, e.g., an amine. Still another aspect of the present invention relates to the use of an ionic liquid comprising a pendant nucleophilic group to catalyze a nucleophile-assisted chemical reaction. A fifth aspect of the present invention relates to the use of an ionic liquid comprising a pendant nucleophilic group to remove a gaseous impurity, e.g., carbon dioxide, from a gas, e.g., sour natural gas.
The invention relates to N-hydroxylsulfonamide derivatives that donate nitroxyl (HNO) under physiological conditions and are useful in treating and/or preventing the onset and/or development of diseases or conditions that are responsive to nitroxyl therapy, including heart failure and ischemia/reperfusion injury. Novel N-hydroxylsulfonamide derivatives release NHO at a controlled rate under physiological conditions, and the rate of HNO release is modulated by varying the nature and location of functional groups on the N-hydroxylsulfonamide derivatives.
The dehydrated alkali metal salts of carboxylic acid are dissolved in the alcohol such as methanol or ethanol or butanol to make their solution in corresponding alcohol. The solution alcohol is further treated with carbon dioxide under pressure or at atmospheric pressure at elevated temperature such as 150 to 200° C. The carboxylic acid gets converted into corresponding alkyl ester and calcium carbonate or sodium carbonate or potassium carbonate as the byproduct. Calcium lactate or sodium lactate or sodium acetate or sodium benzoate or sodium salicylate as alkali metal salt solution prepared in methanol or ethanol or butanol when treated with carbon dioxide under pressure or at atmospheric pressure at elevated temperature gets converted to methyl lactate or ethyl lactate or methyl acetate or methyl benzoate or methyl salicylate as product.
A process for producing a cyclic alkylene phosphorohalidite, which comprises reacting a specific phosphorus trihalide with a specific alkylene glycol compound under conditions where the phosphorus trihalide is present in an excess amount relative to the amount of the alkylene glycol compound in the reaction system to order to obtain the cyclic alkylene phosphorohalidite by reacting the alkylene glycol compound with the phosphorus trihalide; and a process for producing a cyclic phosphoric acid ester by using the obtained cyclic alkylene phosphorohalidite as a raw material.
A method of making a diorganodihalosilane contacting an organotrihalosilane according to the formula RSiX3 (I) with hydrogen in the presence of a metal catalyst comprising at least two metals and at a temperature from 300 to 800° C. to form a diorganodihalosilane, wherein R is C1-C10 Q hydrocarbyl, X is halo, and two of the at least two metals are chosen from at least one of (i) copper and palladium, (ii) copper and gold, (iii) indium and iridium or (iv) iridium and rhenium.
Precursors for use in depositing antimony-containing films on substrates such as wafers or other microelectronic device substrates, as well as associated processes of making and using such precursors, and source packages of such precursors. The precursors are useful for deposition of A Ge2Sb2Te5 chalcogenide thin films in the manufacture of nonvolatile Phase Change Memory (PCM) or for the manufacturing of thermoelectric devices, by deposition techniques such as chemical vapor deposition (CVD) and atomic layer deposition (ALD).
A material which electronically isolates a rubidium or cesium atom, which is bonded to only one or two oxygen atoms. This electronic isolation is manifested in narrow photoluminescence emission spectral peaks. The material may be an alkali metal compound comprises the empirical formula: AM(R1)(OR)x; where A is selected from Rb and Cs; M is selected from Al, Ti and V; each R is an independently selected alkyl or aryl group, R1 is selected from alkyl alcohol, aryl alcohol, or a carboxyl group, where OR and R1 are not the same, and x is 2, 3, or 4.
The present invention relates to the scaled-up synthesis of biologically active compounds which display useful therapeutic activity in treating proliferative disorders. In particular the invention relates to process methods for the kilogram scale synthesis of a particular class of substituted benzofuran tubulin polymerisation inhibitors.
To provide a novel organoboron compound which is useful as a reactant of organic synthesis. To provide a method for manufacturing the organoboron compound. A novel organoboron compound represented by General Formula (G1) below is provided. Note that in General Formula (G1), R1 to R9 separately represent any one of hydrogen, an alkyl group having 1 to 6 carbon atoms, and an aryl group having 6 to 16 carbon atoms. R10 and R11 separately represent hydrogen or an alkyl group having 1 to 6 carbon atoms, and R10 and R11 may be bonded with each other to form a ring. Further, X represents an oxygen atom or a sulfur atom.
There is provided a metal complex that is large in degree of a change in emission intensity, the change being caused by a change in molecular structure of a ligand through a photochromic reaction. The metal complex is arranged such that a diarylethene-based photochromic molecule coordinates to a metal ion via two groups directly bonded to respective reaction site carbons and that the groups are each independently a group selected from Formula Group (1) below.
This invention relates to compounds of formula I their use as inhibitors of the microsomal prostaglandin E2 synthase-1 (mPGES-1), pharmaceutical compositions containing them, and their use as medicaments for the treatment and/or prevention of inflammatory diseases and associated conditions. A, L, M, W, R1, R2, R3, R4, R6, R7, R9, Ra, Rb have meanings given in the description.
The present invention concerns novel heteroaryl-N-aryl carbamates and their use in pest control, as insecticides and acaricides This invention also includes preparation of the pesticide compositions containing the compounds, and methods of controlling insects using the compounds.
The invention relates to compounds of the formula (I) in which Y1 and Y2 are each O or, respectively, NRa or NRb, where Ra and Rb are each H or organyl; Z1 to Z4 are each O or S; R11 to R14, R21 to R24 are each Cl, F; where 1 or 2 of the R11 to R14, R21 to R24 radicals may also be CN and/or 1 R11 to R14, R21 to R24 radical may be H; and where, when Y1 is NRa, Z1 or Z2 may also be NRc, where Ra and Rc together are a bridging X group having from 2 to 5 atoms; and where, when Y2 is NRb, Z3 or Z4 may also be NRd, where Rb and Rd together are a bridging X group having from 2 to 5 atoms; to a process for preparation thereof, and to their use as emitter materials, charge transport materials or exciton transport materials.
Compounds derived from aromatic tetracarboxyl bisbenzoimidazoles are disclosed. These compounds are capable of forming liquid crystal systems that can produce optically isotropic or anisotropic films with desirable optical properties. Formulae (I) or (II), or a salt thereof; wherein y is an integer in the range from 0 to about 4.
The present invention relates to methods of producing silk dope comprising silk proteins with a coiled-coil structure such as honeybee silk proteins. The silk proteins are obtained from cells producing them, solubilizing the proteins by contacting them with a surfactant or an ionic liquid and concentrating the proteins to produce silk dope. The proteins can be used for a variety of purposes such as in the production of personal care products, plastics, textiles and biomedical products.
The present invention relates to novel coagulation Factor VII polypeptides, polynucleotide constructs encoding such polypeptides, as well as vectors and host cells comprising and expressing the polynucleotide, pharmaceutical compositions, uses and methods of treatment.
The present invention provides a novel peptide capable for binding to rhodium. The peptide consists of an amino acid sequence represented by SQMMGHMGHGNMNHMNHGGKFDFHH (SEQ ID NO: 01).
Immunogens against human extended-progastrin species comprise (A) a mimetic peptide comprised of (i) the amino acid sequence of a progastrin or a N- and/or C-terminal processed species of a progastrin joined to (ii) a 7 amino-acid spacer coupled to (B) an immunogenic carrier. Illustrative of the mimetic peptide/spacer combination are a 21 amino-acid peptide (SEQ ID NO.: 1) and other, related polypeptides (SEQ ID NOs.: 2-5). Pharmaceutical compositions containing such an immunogen display improved immunological properties, including the induction of effective antibody levels shortly after the administration of an initial course of immunogen. Levels of antibody thus elicited stay elevated for several months and readily elevate to higher levels upon subsequent boosting by a single injection of immunogen.
The present invention provides a novel endoglucanase nucleic acid sequence, designated egl6 (SEQ ID NO:1 encodes the full length endoglucanase; SEQ ID NO:4 encodes the mature form), and the corresponding endoglucanase VI amino acid sequence (“EGVI”; SEQ ID NO:3 is the signal sequence; SEQ ID NO:2 is the mature sequence). The invention also provides expression vectors and host cells comprising a nucleic acid sequence encoding EGVI, recombinant EGVI proteins and methods for producing the same.
Loss of Wnt-5a protein expression in breast carcinoma patients is associated with a shorter recurrence-free survival as well as increased motility in mammary cell lines. Based on sequence analysis of Wnt-5a, peptide fragments were identified and investigated for their ability to mimic effects of the Wnt-5a protein on mammary cell adhesion and motility. Two of these peptides significantly increased adhesion and impaired the motility of non-tumorigenic breast cancer cell lines, both low in endogenous Wnt-5a protein expression. To identify the shortest possible peptide that still had an anti-motile effect, sequential deletions of two amino acids from the N-terminal side of the shorter of these two peptides were performed. The effect on tumor cell adhesion was gradually lost, and when only 6 amino acids remained the effect was not detectable. However, formulation of the N-terminal methionine of this hexapeptide restored its effect on adhesion and reduced tumor cell motility. The formyl-Met-Asp-Gly-Cys-Glu-Leu (formylated SEQ ID NO: 15) peptide ligand can serve as a lead substance for anti-metastatic treatment in the 50% of human breast cancers where the endogenous expression of Wnt-5a is reduced.
Objective methods for detecting and diagnosing colon cancer are described herein. In one embodiment, the diagnostic method involves determining the expression level of TOM34 that discriminates between colon cancer cells and normal cells. Finally, the present invention provides methods of screening for therapeutic agents useful in the treatment of colon cancer, methods of treating colon cancer and method for vaccinating a subject against colon cancer.
An S,S- and R,R-lactide stream suitable for polymerization is prepared by producing a low molecular weight poly(lactic acid), depolymerizing the low molecular weight poly(lactic acid) to form a mixture of S,S-, R,R- and meso-lactide, and separating meso-lactide from this mixture to form an S,S- and R,R-lactide stream. Meso-lactide is recycled into the process, and shifts the mole fractions of the lactides in the lactide mixture that is produced.
A method for producing a polyamide resin characterized by comprising thermally polycondension of tetramethylene diamine and either an aliphatic dicarboxylic acid having 7 or more carbon atoms or a salt thereof, and then being melt-polymerized at a temperature equal to or higher than the melting point thereof until the relative viscosity of a 0.01 g/ml solution thereof in 98% sulfuric acid solution with a 0.01 g/ml content at 25° C. becomes 2.2-5.0.
A polyester resin having a diol unit containing a unit derived from ethylene glycol and a unit derived from a diol represented by the following formula (I), and a dicarboxylic acid unit containing a unit derived from an aromatic dicarboxylic acid in an amount of 50 mol % or more; wherein the entire diol unit contains the unit derived from ethylene glycol in an amount of 40 to 99 mol %, and the unit derived from a diol represented by formula (I) in an amount of 1 to 60 mol %: wherein A represents an aromatic ring selected from the group consisting of benzene, naphthalene, anthracene, phenanthrene and pyrene; R1 represents a C1 to C12 alkyl group, a substituted or unsubstituted C6 to C12 aryl group or a halogen atom; n represents an integer of 0 to 4; and when plural R1s are present, R1s may be the same as or different from each other.
There is provided a resist underlayer film having heat resistance that is used for a lithography process in the production of semiconductor devices, and a high refractive index film having transparency that is used for an electronic device. A polymer comprising a unit structure of Formula (1): wherein each of R1, R2, R3, and R5 may be a hydrogen atom, R4 may be phenyl group or naphthyl group. A resist underlayer film forming composition comprising the polymer, and a resist underlayer film formed from the composition. A high refractive index film forming composition comprising the polymer, and a high refractive index film formed from the composition.
The invention relates to compositions with isocyanate groups, which can be cured without an isocyanate-reactive component, to processes for preparing such compositions and to the use thereof.
A carbon fiber precursor fiber having a weight average molecular weight Mw(F) of 200,000 to 700,000 and a degree of polydispersity MZ(F)/Mw(F), wherein MZ(F) indicates Z-average molecular weight of the fiber, of 2 to 5.
A polymerization initiator for reactive monomers and unsaturated polymers which is the reaction product of a metal-organic titanium compound or a metal-organic zirconium compound and pinacol compound is disclosed. Further disclosed are methods for preparing the polymerization initiator and using the polymerization initiator for low temperature curing.
The present invention provides a curable resin composition which exhibits the following properties: excellent basic performances such as heat resistance; sufficient optical characteristics such as transparency; and excellent demoldability when a molded body of the composition is demolded at the time of molding. The present invention further provides a molded body obtainable by molding the curable resin composition and a production method thereof. A curable resin composition for molded bodies, including a thermocurable resin, wherein the curable resin composition for molded bodies includes at least one compound selected from the group consisting a compound having a boiling point of 260° C. or less at one atmospheric pressure, a silicon compound having a polyoxyalkylene chain, a silicon compound having an aryl group, and a silicon compound having a polyoxyalkylene chain and an aryl group.
A hair cosmetic composition comprising components (A) and (B) at a mass ratio of (A)/(B)=0.66 to 9.0: (A) an organopolysiloxane wherein poly(acylalkyleneimine) segments each having a molecular weight of from 1,200 to 5,500 are bound to an organopolysiloxane segment having a molecular weight of from 7,000 to 100,000, the mass ratio of the both segments is from 35/65 to 60/40, and the organopolysiloxane segment between the above-mentioned bonds has a molecular weight of from 1,300 to 5,500, (B) an organopolysiloxane wherein poly(acylalkyleneimine) segments each having a molecular weight of from 800 to 1,600 are bound to an organopolysiloxane segment having a molecular weight of from 10,000 to 100,000, the mass ratio of the both segments is from 65/35 to 82/18, and the organopolysiloxane segment between the above-mentioned bonds has a molecular weight of from 1,500 to 3,500.
The present invention provides a water- and oil-repellent soil-resistant composition comprising (A) a fluorine-containing polymer having repeating units derived from a monomer comprising a fluorine-containing monomer and (B) a silane compound. The water- and oil-repellent soil-resistant composition comprising the fluorine-containing acrylate polymer can impart the excellent water- and oil-repellency and soil resistance to the porous substrate and is free from an organic solvent.
A modified conjugated diene polymer and a manufacturing method for the same are provided. The modified conjugated diene polymer is manufactured by the method including, forming a conjugated diene polymer by a polymerization step and making it react with a first modifier and then react with a second modifier. The modified conjugated diene polymer has over 97% of cis-1,4 structure. A PDI of the modified conjugated diene polymer is bigger than 1.8 and smaller than 2.5. The first modifier has a chemical formula of X—R1-Si(R2)3. The second modifier has a chemical formula of R3-Si(R4)3.
An object of the present invention is to provide a golf ball resin composition with an excellent resilience and fluidity. Another object of the present invention is to provide a golf ball resin composition with a high hardness and durability. Yet another object of the present invention is to provide a golf ball traveling a great distance with an ionomer cover. The present invention provides a golf ball resin composition comprising, (A) an ionomer resin consisting of a metal ion-neutralized product of a binary copolymer composed of an olefin and an α,β-unsaturated carboxylic acid having 3 to 8 carbon atoms; (B) a binary copolymer composed of an olefin and an α,β-unsaturated carboxylic acid having 3 to 8 carbon atoms, and (C) a zinc compound, wherein a content ratio ((A)/(B)) of (A) component to (B) component ranges from 50/50 to 80/20 in a mass ratio and a content of (C) component ranges from 0.1 part to 20 parts with respect to 100 parts by mass of a sum of (A) component and (B) component, and the golf ball resin composition has a melt flow rate (190° C., 2.16 kg) of 15 g/10 min or more.
A production process of an organic fiber-containing polyolefin resin composition includes the step of mixing in a rotary impeller-carrying mixer (1) more than 10 parts by weight to less than 90 parts by weight of an organic fiber, with (2) more than 10 parts by weight to less than 90 parts by weight of a polyolefin resin containing (a) 50 to 100% by weight of polyolefin resin powders passing through a sieve having 2 mm openings, and (b) 0 to 50% by weight of polyolefin resin pellets not passing through a sieve having 2 mm openings and passing through a sieve having 4.75 mm openings.
A flame retardant thermoplastic composition comprising in combination a polycarbonate homopolymer or copolymer comprising repeat carbonate units having the following structure: wherein R1 and R2 are independently at each occurrence a C1-C4 alkyl, n and p are each an integer having a value of 1 to 4, and T is selected from the group consisting of C5-C10 cycloalkanes attached to the aryl groups at one or two carbons, C1-C5 alkyl groups, C6-C13 aryl groups, and C7-C12 aryl alkyl groups; an impact modifier, wherein the impact modifier comprises wherein the impact modifier comprises a rubber modified thermoplastic resin comprising a discontinuous elastomeric phase dispersed in a rigid thermoplastic phase, and wherein the impact modifier has a specific mean particle size and Q value; and a flame retardant. The compositions have excellent scratch resistance as well as an improved balance of physical properties such as impact strength and spiral flow, while at the same time maintaining their good flame performance.
An adhesive, including: at least 100 weight parts of an unsaturated polyester resin suitable for air-drying, between 0 and 5 weight parts of hydrogenated castor oil, between 1 and 20 weight parts of nano powder, between 0 and 100 weight parts of a filler, and between 1 and 15 weight parts of an anti-shrinking agent.
A method for preparing a polymeric composition, the method comprising providing a polymer cement including a polymer and a solvent; introducing a cold-flow inhibitor with the polymer cement, where the cold-flow inhibitor is selected from the group consisting of vicinal diamides, vicinal diureas and vicinal amide-ureas; and isolating at least a portion of the polymer and the cold-flow inhibitor from the solvent to provide a polymeric composition including the polymer and the cold-flow inhibitor.
A polycarbonate resin composition includes about 100 parts by weight of a base resin including about 45 to about 86 parts by weight of a polycarbonate resin (A), about 10 to about 45 parts by weight of a polysiloxane-polycarbonate copolymer (B) and about 4 to about 10 parts by weight of an aromatic vinyl graft copolymer (C), about 0.03 to about 5 parts by weight of a sulfonate flame retardant (D) based on about 100 parts by weight of the base resin, and about 1 to about 10 parts by weight of a polycaprolactone polymer (E) based on about 100 parts by weight of the base resin.
The invention relates to method for producing a crosslinked elastomer by radiating a polymer dispersion of at least one crosslinkable polymer with electromagnetic radiation in the ultraviolet (UV light) and/or visible spectral range, wherein the crosslinking is performed in at least two stages as pre-crosslinking and post-crosslinking and at least one photoinitiator is added to the polymer dispersion to trigger the crosslinking reaction prior to the pre-crosslinking. At least one photoinitiator is added once again to the pre-crosslinked polymer dispersion prior to and/or during the post-crosslinking, and the post-crosslinking is also performed with electromagnetic radiation in the ultraviolet (UV light) and/or visible spectral range.
Compounds of formula (Ia): wherein R1, R2, R3, R4a, R4b, R5, and R6 are defined herein, as well as other indene derivatives are disclosed herein. Pharmaceutical compositions containing the compounds and methods of using the compounds are also disclosed.
Disclosed is a composition for treating arthritis containing a dibenzo-p-dioxin derivative as an active ingredient. This dibenzo-p-dioxin derivative is very effective in inhibiting NF-kB and AP-1 activity, alleviates the symptoms of degenerative arthritis and rheumatoid arthritis without irritating the skin or causing side effects, and can continue to exhibit improvement effects for a considerable period of time after discontinuation of treatment. Additionally, when the dibenzo-p-dioxin derivative is contained in liposomes, the composition of the invention exhibits much greater effects on treating arthritis by absorption through skin, and thus is useful for the treatment of degenerative arthritis and rheumatoid arthritis.
The present invention is directed to provide an efficient production method which is capable of not only obtaining a cyclic sulfonic acid ester (sultone) at low cost and in high yield, but also the sulfonic acid ester (sultone) stably even in a commercial scale. The present invention relates to a method for producing hydroxysultone comprising a first step where a diol having a specified structure and a thionyl halide are reacted to obtain a cyclic sulfite having a specified structure, and a second step where the cyclic sulfite is reacted with water or/and alcohol; a method for producing an unsaturated sultone having a specified structure comprising a third step where a hydroxylsultone having a specified structure is reacted with an acid halide or an acid anhydride to obtain an intermediate, subsequently the intermediate is treated with a base; as well as a cyclic sulfite having a specified structure.
The present invention is directed to inhibitors of S-nitrosoglutathione reductase (GSNOR), pharmaceutical compositions comprising such GSNOR inhibitors, and methods of making and using the same.
A polymer comprising at least one type of repeat unit comprising at least one type of an optionally substituted indolocarbazole moiety and at least one divalent linkage.
Imidazole derivatives of formula (I): wherein R1, R2a, R2b, A, V and W are as defined in the description, as inhibitors of fructose-1,6-bisphosphatase, their preparation process and their use in the prevention or treatment of diabetes, and other diseases where the inhibition of gluconeogenesis, control of blood glucose levels, reduction in glycogen stores, or reduction in insulin levels is beneficial and diseases related to the insulin resistance syndrome.
The present invention relates to method of enhancing the absorption of migraine medications and thereby treating migraines by co-administering to a subject in need thereof an effective amount of a ghrelin mimetic or pharmaceutically acceptable salt, hydrate or solvate thereof and at least one migraine medication selected from a serotonin 5-HT1B/1D receptor agonist, a tryptamine derivative, an ergoline derivative, a non-steroidal anti-inflammatory drug, or an analgesic, or any combination thereof.
The present invention is directed to certain oxazole derivatives which are useful as inhibitors of Fatty Acid Amide Hydrolase (FAAH). The invention is also concerned with pharmaceutical formulations comprising these compounds as active ingredients and the use of the compounds and their formulations in the treatment of certain disorders, including osteoarthritis, rheumatoid arthritis, diabetic neuropathy, postherpetic neuralgia, skeletomuscular pain, and fibromyalgia, as well as acute pain, migraine, sleep disorder, Alzheimer Disease, and Parkinson's Disease.
There are disclosed bicyclic heterocyclic spiro compounds; pharmaceutical compositions comprising these compounds; and methods for the treatment in a mammal of diseases and conditions which are susceptible to modulation of the M1 muscarinic receptor, including Alzheimer's disease, insulin resistance syndrome and type 2 diabetes. Other embodiments are also disclosed.
Disclosed are azaindazole compounds of Formula (I), or pharmaceutically acceptable salts thereof, wherein W is CR4 or N; and R1, R2, R3, and R4 are defined herein. Also disclosed are methods of using such compounds in the treatment of at least one CYP17 associated condition, such as, for example, cancer, and pharmaceutical compositions comprising such compounds.
The invention relates to new crystalline modifications of the hydrochloride of 1-[4-(5-cyanoindol-3-yl)butyl]-4-(2-carbamoyl-benzofuran-5-yl)-piperazine, crystalline modification of the dihydrochloride of 1-[4-(5-cyanoindol-3-yl)butyl]-4-(2-carbamoyl-benzofuran-5-yl)-piperazine and amorphous 1-[4-(5-cyanoindol-3-yl)butyl]-4-(2-carbamoyl-benzofuran-5-yl)-piperazine hydrochloride which are suitable in particular for the preparation of solid medicaments for the treatment or prevention of depressive disorders, anxiety disorders, bipolar disorders, mania, dementia, substance-related disorders, sexual dysfunctions, eating disorders, obesity, fibromyalgia, sleeping disorders, psychiatric disorders, cerebral infarct, tension, for the therapy of side-effects in the treatment of hypertension, cerebral disorders, chronic pain, acromegaly, hypogonadism, secondary amenorrhea, premenstrual syndrome and undesired puerperal lactation.
The present invention is directed to novel 2,3-dihydro-1H-isoindol-1-imine derivatives, pharmaceutical compositions containing them and their use in the treatment of disorders and conditions modulated by the thrombin PAR-1 receptor antagonists.
The present invention provides pyrimidinyl compounds of formula (I) and pharmaceutically acceptable salts thereof. These compounds may be used for the inhibition of influenza. In particular, the compounds of the invention may be used for the treatment or prophylaxis of influenza A, most particularly H1N1 or H5N1 influenza. The compounds of the invention can also be used for the treatment or prophylaxis of a disease caused by Vibrio cholerae, Clostridium perfringens, Streptococcus pneumoniae, Arthrobacter sialophilus, an orthomyxovirus, a paramyxovirus, a parainfluenza virus, mumps virus, Newcastle disease virus, fowl plague virus or Sendai virus.
The invention relates to novel resolvin compounds and pharmaceutical preparations thereof. The invention further relates to methods of treatment using the novel resolvin compounds of the invention.
The present invention provides a method of treating pancreatic cancer by inhibiting the activity cyclin D1 activity in tumor cells. The invention is based on the finding that cyclin D1 shRNA molecules are capable of attenuating tumor growth and interfering with tumor angiogenesis.
The present invention provides a mangiferin-berberine salt and manufacturing method thereof. Otherwise, the present invention also provides the use of mangiferin-berberine salt as AMPK activator.
Peptides useful as angiotensin converting enzyme inhibitors are provided. Also provided are compositions comprising one or more of the peptides and methods for preventing, treating and/or diminishing one or more syndromes associated with angiotensin converting enzyme by using the peptides.
Provided herein are tissue protective peptides derived from or sharing consensus sequences with portions of cytokine receptor ligands, including Erythropoietin (EPO), that are generally located on or within the region of the cytokine receptor ligand that faces away from a receptor complex while the ligand is bound to the receptor. Also provide herein are fragments, chimeras, as well as peptides designed to mimic the spatial localization of key amino acid residues within the tissue protective receptor ligands, e.g., EPO; methods for treating or preventing a disease or disorder using tissue protective peptides; and methods for enhancing excitable tissue function using tissue protective peptides.
The present invention relates to a method for increasing the binding reversibility of a ω-conotoxin to a N-type calcium channel, which comprises preparing a ω-conotoxin having a Ile and/or Ala residue at a position of amino acid (11 and/or 12), respectively in the second loop between cysteine residues (2 and 3) of the ω-conotoxin represented by the formula I, such that the prepared ω-conotoxin has the increased binding reversibility to N-type calcium channel. In addition, the present invention relates to a novel ω-conotoxin and a pharmaceutical composition having plausible properties in view of blocking activity to and specificity to N-type calcium channel, and dramatically improved binding reversibility to N-type calcium channel.
A method for identifying a compound for preventing or treating a LDLR-associated disease, a VLDLR-associated disease or an ApoER2-associated disease, said method comprising determining whether: a) a level of expression of Annexin A2 nucleic acid or encoded polypeptide; b) a level of Annexin A2 activity; or c) a combination of a) and b), is increased in the presence of a test compound relative to in the absence of said test compound, wherein said increase is indicative that said test compound can be used for preventing or treating a LDLR-associated disease, a VLDLR-associated disease, an ApoER2-associated disease.
The present invention relates to monomeric and multimeric peptidic compounds which have antiviral activity, particularly against integrin-using viruses, more particularly against rotavirus. Further, the present invention refers to compositions comprising said peptidic compounds for medical use or for use as food additives.
Disclosed are compounds having enhanced potency in the modulation of NMDA receptor activity. Such compounds are contemplated for use in the treatment of diseases and disorders, such as learning, cognitive activities, and analgesia, particularly in alleviating and/or reducing neuropathic pain. Orally available formulations and other pharmaceutically acceptable delivery forms of the compounds, including intravenous formulations, are also disclosed.
A solid fabric softening composition is disclosed which includes a quaternary ammonium fabric softening compound which is stabilized to form a solid with a mixture of a water soluble organic salt and a medium to long chain carboxylic acid. This stabilizing combination has been shown to effectively form a solid quaternary ammonium based fabric softening composition with up to as much as 70% by weight of quaternary ammonium compound. The formulations are stable at typical storage temperatures of up to 110° F. and provide fabric softening similar to and even superior to other traditional liquid formulations.
An engine lubricant, especially an SAE OW engine lubricant is described. The engine lubricant has at least 15 wt % of at least one monoester and not more than 15 wt % of additives, wherein said at least one monoester, or mixture of said monoesters if more than one is present, has a kinematic viscosity at 100° C. of not more than 3.3, a viscosity index of at least 130 and a Noack evaporation loss of not more than 15 wt %. The monoester is preferably the reaction product of a monohydric alcohol and a monocarboxylic acid wherein said monohydric alcohol is at least one saturated branched-chain aliphatic monohydric alcohol having between 16 and 36, carbon atoms and wherein said monocarboxylic acid is at least one saturated straight-chain aliphatic monocarboxylic acid having between 5 and 10, preferably 5 and 7, carbon atoms. In preferred lubricants, the monoester, or mixtures of monoesters, have a pour point of not more than −30° C. and a non-polarity index of at least 80.
A grease composition includes: a poly-α-olefin having a kinematic viscosity at 40 degrees C. of 60 to 320 mm2/s, the poly-α-olefin being contained in an amount of 50 mass % or more relative to a whole composition; a thickener that is a lithium salt of a hydroxyl-free fatty acid having 10 to 22 carbon atoms; and an ashless dithiocarbamate and/or zinc dithiocarbamate that are contained in an amount of 0.1 to 1.5 mass % in terms of sulfur relative to a whole composition. In the grease composition, a phosphorous content is 0.05 mass % or less relative to the whole composition, and a worked penetration is in a range from 265 to 310.
A method and composition for crosslinking a polymer based fluid includes providing a dry blend of crosslinker and delay agent. The crosslinker and delay agent are mixed and granulated in a dry form prior to addition to the polymer fluid.
A coated conductor comprising an improved buffer layer architecture where the buffer layers are obtainable by chemical solution deposition and where the buffer layers essentially adopt the degree of texture of the substrate.
Composition for controlling insects and representatives of the order Acarina, which comprises a combination of variable amounts of one or more compounds of the formula in which A is an unsubstituted or, depending on the possibility of substitution on the ring system, mono- to tetrasubstituted, aromatic or non-aromatic monocyclic or bicyclic heterocyclic radical, in which the substituents of A can be chosen from the group consisting of C1-C3alkyl, C1-C3alkoxy, halogen, halo-C1-C3alkyl, cyclopropyl, halocyclopropyl, C2-C3alkenyl, C2-C3alkynyl, halo-C2-C3alkenyl, halo-C2-C3alkynyl, halo-C1-C3alkoxy, C1-C3alkylthio, Halo-C1-C3alkylthio, allyloxy, propargyloxy, allylthio, propargylthio, haloallyloxy, haloallylthio, cyano and nitro; R is hydrogen, C1-C6alkyl, phenyl-C1-C4alkyl, C3-C6cycloalkyl, C2-C6alkenyl or C2-C6alkynyl; and X is N—NO2 or N—CN, in the free form or in salt form, if appropriate tautomers, in the free form or salt form, and one or more of the compounds (I) to (CLXXXIV) mentioned according to the invention and at least one auxiliary. A method of controlling pests, a process for the preparation of the composition, its use and plant propagation material treated with it, and the use of the compound of the formula (A) for the preparation of the composition, are described.
The present invention present a thermosensitive recording medium having excellent printed image quality on a thermosensitive recording surface, particularly for bar code readability, and also good record density and recording property after storage.In a thermosensitive recording medium obtained by installing on a substrate a thermosensitive recording layer containing a colorless or pale electron donating leuco dye and an electron accepting coloring agent, the water drop absorbency of the surface on which a thermosensitive recording layer is installed on said substrate is adjusted to at least 50 seconds by having at least 5 wt. % of mechanical pulp present in the substrate and controlling the sizing agent treatment of the substrate.
The present invention relates to a catalyst for hydrocarbon steam cracking, a method of preparing the same, and a method of preparing olefin by the hydrocarbon steam cracking by using the catalyst, and more specifically, to a catalyst for hydrocarbon steam cracking for preparing light olefin including an oxide catalyst (0.5≦j≦120, 1≦k≦50, A is transition metal, and x is a number corresponding to the atomic values of Cr, Zr, and A and values of j and k) represented by CrZrjAkOx, wherein the composite catalyst is a type that has an outer radius r2 of 0.5R to 0.96R (where R is a radius of a cracking reaction tube), a thickness (t; r2−r1) of 2 to 6 mm, and a length h of 0.5r2 to 10r2, a method of preparing the same, and a method of preparing light olefins such as ethylene, propylene, etc., by performing the hydrocarbon steam cracking reaction in the presence of the composite catalyst. The present invention can provide catalysts for hydrocarbon steam cracking having high physical strength, excellent stability at high temperature, low non-activation due to coke, and improved yield and selectivity of light olefins.
The invention concerns catalysts comprising (i) a cladded catalyst support comprising (a) a core which comprises alumina particles and (b) about 1 to about 40 weight percent silica cladding, based on the weight of the cladded catalyst support, on the surface of the core; the catalyst support having a BET surface area of greater than 20 m2/g and a porosity of at least about 0.2 cc/g; and (ii) 0.1 to 10 weight percent, based on the weight of the catalyst, of catalytically active transition metal on the surface of the cladded catalyst support; wherein the catalyst support has a normalized sulfur uptake (NSU) of up to 25 ?g/m2. The invention also concerns the production and use of such catalysts.
Cellulose and hemicellulose from biomass can be broken down to C6 and C5 sugars and further converted to corresponding sugar alcohols. It is now found that a new catalyst, MoS2, is active for the hydrogenation of sugar alcohols to hydrocarbons. Combining the technologies listed above allows us to convert the cellulose/hemicellulose to liquid hydrocarbons.
The binder for monolithic refractories according to the present invention includes SrAl2O4; SrAl2O4 and 5 mass % or less of the remainder; or a mixture of SrAl2O4 and Al2O3.
To provide a light-transmitting window material made of a spinel sintered body, wherein the largest diameter of pores contained in the light-transmitting window material is not more than 100 μm, and the number of pores having a largest diameter of not less than 10 μm is not more than 2.0 per 1 cm3 of the light-transmitting window material, and wherein light scattering factors are further reduced, and a method for producing a spinel light-transmitting window material including the steps of preparing a spinel molded body; a primary sintering step of sintering the spinel molded body at normal pressure or less or in a vacuum at a temperature in the range of 1500 to 1900° C.; and a secondary sintering step of sintering the spinel molded body under pressure at a temperature in the range of 1500 to 2000° C., wherein the relative density of the spinel molded body after the primary sintering step is 95 to 96% and the relative density of the spinel molded body after the secondary sintering step is 99.8% or more.
A shadow masking device for use in the semiconductor industry includes self-aligning mechanical components that permit shadow masks to be exchanged while maintaining precise alignment with the target substrate. The misregistration between any two of the various layers in the formed structure can be kept to less than 40 microns.
The present invention relates to a plasma etching method with which a wide-gap semiconductor substrate can be etched with high accuracy. An inert gas is supplied into a processing chamber and plasma is generated from the inert gas, a bias potential is applied to a platen on which a wide-gap semiconductor substrate is placed, thereby making ions generated by the generation of plasma from the inert gas incident on the semiconductor substrate on the platen to thereby heat the semiconductor substrate. After the temperature of the semiconductor substrate reaches an etching temperature between 200° C. and 400° C., an etching gas is supplied into the processing chamber and plasma is generated from the etching gas and a bias potential is applied to the platen, thereby etching the semiconductor substrate while maintaining the temperature of the semiconductor substrate at the etching temperature.
A method of forming a biosensor chip enables a bond pad and detector electrode to be formed of different materials (one is formed of a connection layer such as copper and the other is formed of a diffusion barrier layer such as tantalum or tantalum nitride). A single planarizing operation is used for both the bond pad and the detector electrode. By using the same processing, resist patterning on an already-planarized surface is avoided, and the cleanliness of both the bond pad and detector electrode is ensured. Self-aligned nanoelectrodes and bond pads are obtained.
One illustrative method disclosed herein includes forming a seed layer above a substrate that includes a conductive region, wherein the seed layer is comprised of a metal-containing material, forming a nucleation layer on the seed layer, wherein the nucleation layer is comprised of a transition metal oxide ceramic material, and performing a thermal treatment process at a temperature so as to generate a plurality of spaced-apart, vertically oriented alloy structures, wherein the alloy structures are comprised of at least one material from the seed layer and at least one material from the nucleation layer.
A method of growing an epitaxial semiconductor structure is disclosed. The growth and transfer are made using an epitaxy lateral overgrowth technique. The formed epitaxial film on an assembly substrate can be further processed to form devices such as solar cell, light emitting diode, and other devices and assembled into higher integration of desired applications.
An apparatus (100) for fabricating a semiconductor thin film includes: substrate surface pretreatment means (101) for pretreating a surface of a substrate; organic layer coating means (102) for coating, with an organic layer, the substrate thus pretreated; focused light irradiation means (103) for irradiating, with focused light, the substrate coated with the organic layer, and for forming a growth-mask layer while controlling layer thickness; first thin film growth means (104) for selectively growing a semiconductor thin film over an area around the growth-mask layer; substrate surface treatment means (105) for, after exposing the surface of the substrate by removing the growth-mask layer, modifying the exposed surface of the substrate; and second thin film growth means (106) for further growing the semiconductor thin film and growing a semiconductor thin film over the modified surface of the substrate.
An array or moat isolation structure for eDRAM and methods of manufacture is provided. The method includes forming a deep trench for a memory array and an isolation region. The method includes forming a node dielectric on exposed surfaces of the deep trench for the memory array and the isolation region. The method includes filling remaining portions of the deep trench for the memory array with a metal, and lining the deep trench of the isolation region with the metal. The method includes filling remaining portions of the deep trench for the isolation region with a material, on the metal within the deep trench for the memory array. The method includes recessing the metal within the deep trench for the memory array and the isolation region. The metal in the deep trench of the memory array is recessed to a greater depth than the metal in the isolation region.
Bulk silicon is transformed into an SOI-like structure by annealing. Trenches are formed in a bulk substrate to define device sites. The lower portions of the trenches are annealed at low pressure in a hydrogen atmosphere. This transforms the lower trench portions to expanded, spheroidal voids that extend under the device sites. Neighboring voids each reside about half way under an intervening site. A silicon-consuming process forms a liner on the walls of the voids, with the liners on neighboring voids abutting to isolate the intervening device site from the substrate and other device sites.
Method is to fabricate a MEMS device with a substrate. The substrate has through holes in the substrate within a diaphragm region and optionally an indent space from the second surface at the diaphragm region. A first dielectric structural layer is then disposed over the substrate from the first surface, wherein the first dielectric structural layer has a plurality of openings corresponding to the through holes, wherein each of the through holes remains exposed by the first dielectric structural layer. A second dielectric structural layer with a chamber is disposed over the first dielectric structural layer, wherein the chamber exposes the openings of the first dielectric structural layer and the through holes of the substrate to connect to the indent space. A MEMS diaphragm is embedded in the second dielectric structural layer above the chamber, wherein an air gap is formed between the substrate and the MEMS diaphragm.
One method includes forming first trenches in a semiconducting substrate to define at least one fin for a FinFET device, forming a second trench in the substrate that is wider than the first trenches, forming a flowable oxide material in the first and second trenches, removing substantially all the flowable oxide material from the second trench and a portion of the flowable oxide material from the first trenches, forming a thermal oxide material in the first trenches above the flowable oxide material and in the second trench, removing substantially all of the thermal oxide material from the second trench and a portion of the thermal oxide material from the first trenches, depositing a silicon dioxide material in the first trenches above the thermal oxide material and in the second trench, removing the silicon dioxide material from the first trenches, and forming a gate structure around the fin of the device.
The present invention discloses a strained channel field effect transistor and a method for fabricating the same. The field effect transistor comprises a substrate, a source/drain, a gate dielectric layer, and a gate, characterized in that, an “L” shaped composite isolation layer, which envelops a part of a side face of the source/drain adjacent to a channel and the bottom of the source/drain, is arranged between the source/drain and the substrate; the composite isolation layer is divided into two layers, that is, an “L” shaped insulation thin layer contacting directly with the substrate and an “L” shaped high stress layer contacting directly with the source and the drain. The field effect transistor of such a structure improves the mobility of charge carriers by introducing stress into the channel by means of the high stress layer, while fundamentally improving the device structure of the field effect transistor and improving the short channel effect suppressing ability of the device.
A method to prevent a gate contact from electrically connecting to a source contact for a plurality of memory cells on a substrate. The method includes forming pillars with a doped silicon region on the substrate. An electrically conductive gate material is deposited between and over the pillars. The gate material is etched such that the gate material partially fills a space between the pillars. The pillars are then etched such that a pair of pillars from the pillars include an insulating material over the doped silicon region. A gate contact is deposited between the pair of pillars such that the gate contact electrically couples the gate material at a contact interface level, and the insulating material extends below the contact interface level.
A method of manufacturing an integrated circuit is provided with a semiconductor substrate having a core region and a periphery region. A charge-trapping dielectric layer is deposited in the core region, and a gate dielectric layer is deposited in the periphery region. Bitlines are formed in the semiconductor substrate in the core region and not in the periphery region. A wordline-gate layer is formed and implanted with dopant in the core region and not in the periphery region. A wordline and gate are formed. Source/drain junctions are implanted with dopant in the semiconductor substrate around the gate, and the gate is implanted with a gate doping implantation in the periphery region and not in the core region.
A method of fabricating a semiconductor device includes forming a lower interfacial layer on a semiconductor layer, the lower interfacial layer being a nitride layer, forming an intermediate interfacial layer on the lower interfacial layer, the intermediate interfacial layer being an oxide layer, and forming a high-k dielectric layer on the intermediate interfacial layer. The high-k dielectric layer has a dielectric constant that is higher than dielectric constants of the lower interfacial layer and the intermediate interfacial layer.
A method of fabricating a thin film transistor, comprising steps of preparing a substrate; forming a polycrystalline silicon layer on the substrate; injecting impurities into the polycrystalline silicon layer for channel doping; patterning the polycrystalline silicon layer and forming a semiconductor layer; annealing the semiconductor layer in an H2O atmosphere, and forming a thermal oxide layer on the semiconductor layer; forming a silicon nitride layer on the thermal oxide layer; forming a gate electrode at a location corresponding to a predetermined region of the semiconductor layer; forming an interlayer insulating layer on the entire surface of the substrate; and forming source and drain electrodes electrically connected with the semiconductor layer.
A semiconductor package includes a circuit substrate, a semiconductor chip on the circuit substrate, an inner solder ball between the circuit substrate and the semiconductor chip, and dummy solder filling a dummy opening in at least one of an substrate insulation layer of the circuit substrate and a chip insulation layer. The dummy solder does not electrically connect the semiconductor chip with the substrate. The circuit substrate may include a base substrate, a substrate connection terminal on the base substrate, and the substrate insulation layer covering the base substrate. The semiconductor chip may include a chip connection terminal and the chip insulation layer exposing the chip connection terminal. The inner solder ball may be interposed between the substrate connection terminal and the chip connection terminal to electrically connect the circuit substrate to the semiconductor chip.
A disclosed semiconductor device includes a wiring board, a semiconductor element mounted on a principal surface of the wiring board with flip chip mounting, a first conductive pattern formed on the principal surface along at least an edge portion of the semiconductor element, a second conductive pattern formed on the principal surface along the first conductive pattern and away from the first conductive pattern, a passive element bridging between the first conductive pattern and the second conductive pattern on the principal surface of the wiring board, and a resin layer filling a space between the wiring board and the semiconductor chip, wherein the resin layer extends between the semiconductor element and the first conductive pattern on the principal surface of the wiring board.
A thin-film photovoltaic device and a process of making such a device, the device comprising a first layer of a chalkopyrite semiconductor of a first doping type; a second layer of intrinsic zinc oxide deposited by chemical vapor deposition; a third layer of zinc oxide semiconductor of a second doping type opposite to the first doping type and deposited by a method other than chemical vapor deposition; and wherein the second layer is arranged between the first and third layers.
A reflective film including Ag of an Ag alloy is patterned in a uniform thickness without decreasing reflectivity. The reflective film is formed on the entire surface of a first insulating film by sputtering, vacuum deposition or the like, and a barrier metal film having a given pattern is formed on the reflective film by a lift-off method. The reflective film is wet etched using a silver etching liquid. The barrier metal film is not wet etched by the silver etching liquid, and therefore functions as a mask, and the reflective film in a region on which the barrier metal film has been formed remains not etched. As a result, the reflective film having a desired patter can uniformly be formed on the first insulating film.
Disclosed is a surface processing method of a crystalline silicon substrate for a solar cell, and a method for manufacturing a solar cell. The surface processing method of a substrate for a solar cell comprises first surface processing step for forming a plurality of first protrusions on surfaces of a substrate by etching the crystalline silicon substrate by using an aqueous solution, second surface processing step for forming a plurality of second protrusions smaller than the first protrusions by adhering etching residues onto an upper surface, a light receiving surface among the surfaces of the substrate, by using first etching gas, and residue removing step for removing etching residues adhered onto the upper surface of the substrate having undergone the second surface processing step.
When forming critical threshold adjusting semiconductor alloys and/or strain-inducing embedded semiconductor materials in sophisticated semiconductor devices, at least the corresponding etch processes may be monitored efficiently on the basis of mechanically gathered profile measurement data by providing an appropriately designed test structure. Consequently, sophisticated process sequences performed on bulk semiconductor devices may be efficiently monitored and/or controlled by means of the mechanically obtained profile measurement data without significant delay. For example, superior uniformity upon providing a threshold adjusting semiconductor alloy in sophisticated high-k metal gate electrode structures for non-SOI devices may be achieved.
A manufacturing method of an LED chip includes the following steps: providing a substrate; forming a light emitting layer comprising an n-type semiconductor layer and a p-type semiconductor layer on the substrate; forming a pair of electrodes electrically connected the n-type semiconductor layer and the p-type semiconductor layer, respectively; connecting a bonding wire to one of the electrodes by adding melted metal to a portion of a top surface of the electrode, a ratio between an area of the portion of the top surface of the electrode and the top surface of the electrode being no less 6:10; and solidifying the melted metal to form a bonding pad to connect the bonding wire and the electrode together.
A light-emitting diode (LED) cutting method includes the following steps: positioning and retaining an LED die or an LED epitaxial substrate on a die retainer; introducing a liquid medium for preventing reflection of sound wave between a cutting tool and the die; activating a power source to drive a magnetostrictive material or piezoelectric ceramic material mounted on a machine to serve as a kinetic source by inducing volume expansion/compression that generates an up-and-down piston-like movement; and operating the cutting tool having super hard micro-particles of diamond, CBN, or SiC electroformed on the cutting tool to perform breaking cutting on an LED workpiece.
A display apparatus including: a plurality of thin film transistors; and an interconnect region, wherein each of the thin film transistors includes a first protective film held in contact with a channel layer and disposed remotely from a gate electrode, a second protective film disposed on the first protective film, and a source and drain electrode assembly including a pair of electrodes held in contact with the channel layer, and the interconnect region includes a first interconnect, a second interconnect disposed in alignment with the first interconnect, and an insulating layer interposed between the first interconnect and the second interconnect and having a stacked structure including a first insulating film joined to the gate insulating film and a second insulating film joined to the second protective film.
Provided is a novel method for amplifying mass spectrometric signals. A novel method for detecting signals of a target molecule includes: allowing a sample, which comprises a target molecule, to contact a gold particle having a surface modified to selectively bind the target molecule, allowing a low molecular weight molecule engrafted to the gold particle generate mass spectrometric signals after the interaction, e.g., binding, between the gold particle and the target molecule, and amplifying the mass spectrometric signals to generate much mass spectrometric signals of the low molecular weight molecule even when trace amounts of the target molecule are present. An assay system using the method and the gold particle prepared in the method are provided. The method amplifies signals of the target molecule without pretreatment of a sample, making it possible to measure the target molecule simply and precisely.
A package for holding and disguising a chromatographic test of food and drink is formed in the shape of a drinking straw or stirrer. The package encloses test patches which are sensitive to particular drugs and produce a color change upon contact with the drug. The package has a view port or slit to observe the condition of the test strip upon contact with food and drink.
The present invention relates to a cell culture support for culturing mesenchymal stem cells, which includes en upper surface including a plurality of wells, in which the upper surface has a root mean square roughness Rq of 100 to 280 nm and a linear density of 1.6 to 10 per 1 μm length.
One embodiment provides a method for enzymatic treatment, including the steps of forming a closed space on a local tissue area with a device and infusing an enzyme solution into the closed space for enzymatic treatment. The method according to the embodiment is capable of treating the local tissue area with enzymes for enhancing cell proliferation in the treated tissue area and preventing damage of the adjacent normal tissues. A device and kit used for the method are also provided.
Compounds, compositions and methods are provided for modulating the expression of apolipoprotein(a). The compositions comprise oligonucleotides, targeted to nucleic acid encoding apolipoprotein(a). Methods of using these compounds for modulation of apolipoprotein(a) expression and for diagnosis and treatment of disease associated with expression of apolipoprotein(a) are provided.
The present invention relates to a method of using a dicot intron or elements thereof to enhance transgene expression in plants. The present invention also provides constructs, transgenic plants and seeds containing the polynucleotide useful for expressing transgene in plants.
The present invention provides polynucleotides for enhanced expression of a target gene such as an immunoglobulin. Methods of expressing a target gene using the polynucleotides of the invention are also covered.
A valved microfluidics device, microfluidics cell-culture device and system incorporating the devices are disclosed. The valved microfluidics device includes a substrate, a microchannel through which liquid can be moved from one station to another within the device, and a pneumatic microvalve adapted to be switched between open and closed states to control the flow of fluid through a microchannel. The microvalve is formed of three flexible membranes, one of which is responsive to pneumatic pressure applied to the valve and the other two of which deform to produce a more sealable channel cross-section. The cell culture device provides valving to allow controlled loading of cells into the individual well of the device, and exchange of cell-culture components in the wells.
Disclosed herein are a cell chip and a method for manufacturing the same. The cell chip may include: a substrate; and a first contact member disposed on the substrate, wherein a top end of the first contact member is provided with a first inclined contact surface inclined with respect to the substrate.
The present patent application describes a cantilever for atomic force microscopy (AFM), which includes a cantilever body having a fixed end and a free end, the free end having a surface region being chemically modified by a dendron in which a plurality of termini of the branched region of the dendron are bound to the surface, and a terminus of the linear region of the dendron is functionalized.
A process utilizing enhanced photosynthesis and photocatalysis to purify water and/or utilize CO2 on a large scale by growing biomass in reduced time and space in a closed, continuous-flow system. Added CO2 and balanced nutrients combine with light to increase the growth rate of autotrophic microalgae which require CO2 for growth and produce oxygen. Organic and inorganic compounds and chemical toxins are mineralized in photocatalysis using such produced oxygen, which are then absorbed (metabolized) in the microalgal biomass that is harvested.
The present invention relates to compositions and methods for producing an immune response or reaction, as well as to vaccines, kits, processes, cells and uses thereof. This invention more particularly relates to compositions and methods of using a synthetic viral particle to produce, modify or regulate an immune response in a subject. In a more preferred embodiment, the invention is based, generally, on compositions using synthetic viral particles as an adjuvant and/or vehicle to raise an immune response against selected antigen(s) or epitopes, in particular a cellular and/or a humoral immune response.
Recombinant bacteria having an improved ability to utilize sucrose are provided. These recombinant bacteria have nucleotide sequences encoding sucrose utilization polypeptides integrated into their genome between the yihP gene or its homolog and the yihO gene or its homolog. Additionally, methods of utilizing the recombinant bacteria to produce products such as glycerol and glycerol-derived products are provided.
The invention provides a microorganism having an ability to produce a protein having a dipeptide synthesizing activity and in which an activity of the protein to transport a dipeptide in a microbial cell to theoutside of the microbial cell is higher than that of a parental strain.
Compositions and methods for the inducible expression of genes in eukaryotic cells are provided. Expression of a nucleotide sequence of interest encoding a protein of interest is controlled by a regulatory fusion protein that consists of a transcription blocking domain and a ligand-binding domain. When a cognate ligand for the ligand-binding domain is present, transcription of the nucleotide sequence of interest is blocked. Upon removal of the cognate ligand, the nucleotide sequence of interest is transcribed. The method is useful for large scale bioreactor production of a desired protein of interest in eukaryotic cells.
The present invention relates to a composition and method for handling tissue samples for analysis. In particular the present invention relates to a composition and method for orientating tissue for histological and/or pathological laboratory analysis.
The present invention is a method for identifying compounds that are allosteric and/or other novel ACAT inhibitors that is based on the novel finding that pregnenolone is a substrate for ACAT; esterification of pregnenolone by ACAT is dramatically activated when cholesterol is present in the assay. The method comprises measuring the esterification of pregnenolone by ACAT under two different conditions: with cholesterol, or without cholesterol. This method can be used to test and categorize various candidate ACAT inhibitors as allosteric or other novel ACAT inhibitors, or it can be used in high-throughput screening for identifying such ACAT inhibitors.
The present invention is directed to in situ methods for providing a definitive haplotype of a subject. The haplotype information generated by the methods described herein is more accurate than that provided by prior art methods that only give an inferred haplotype. Accordingly, in one aspect the present invention provides an in situ method for obtaining genetic information for a polyploid subject, the method including the steps of obtaining a biological sample from the subject, the sample containing: (i) at least one paternally-derived DNA molecule, and/or (ii) at least one maternally-derived DNA molecule, analyzing any one or more of the paternally- or maternally-derived DNA molecules for nucleotide sequence information, wherein the step of analyzing determines whether any two DNA markers are present in cis on one chromosome, or in trans across two sister chromosomes. Use of in situ methods such as FISH allows for the provision of phase-specific information on DNA markers without recourse to methods for physically separating sister chromosomes. Applicants propose that method eliminates the problem of incorrect or misleading inferences concerning the phase of two or more loci within a haplotype, and allows for revelation of two or more participatory genes within a haplotype, uncomplicated by differences in modes of inheritance.
Disclosed are methods useful in multiplex cell-based assays for compound screening employing imaging instrumentation. The methods described herein offer high content information relating to the biological potency of test agents, off-target effects and cellular toxicity of potential drug candidates.
Recombinant P4 bacteriophage containing modified tail fibers having a base plate attachment region (BPAR) from a P2 bacteriophage gene H product and a heterologous receptor binding domain (RBD) are disclosed. Methods for the use of the recombinant P4 bacteriophage, such as to detect the presence of a target bacterium in a sample, are also described.
In a method of manufacturing a liquid crystal display device in which a plurality of pixels are arranged in a matrix, each of the pixels has an insulator wall structure at a boundary of the pixels, and a wall electrode is provided at least at a side of the wall structure, the wall structure being formed by: using a chemically amplified resist as a material of the wall structure, a step of applying the chemically amplified resist; a step of exposing and developing the chemically amplified resist; a step of irradiating light on an entire surface to perform post exposure; a step of pre-calcinating the chemically amplified resist at a temperature lower than a main calcination temperature; and a step of performing main calcination at a temperature higher than a pre-calcination temperature.
A reverse pattern is formed once by combining a negative exposure mask having a wiring pattern with a positive resist, and then a positive wiring pattern is formed by use of the reverse pattern. That is, a positive resist applied on a semiconductor substrate is exposed by use of the exposure mask having an opening part in a region corresponding to the wiring pattern, and then the exposed part is removed by development to form a resist pattern, thereby forming the wiring pattern in the region corresponding to the opening part of the resist pattern. Consequently, it is hardly affected by flare during EUV exposure, thereby fabricating a fine wiring pattern with higher exposure latitude.
A photosensitive adhesive composition comprising: (A) a polyimide having a carboxyl group as a side chain, whereof the acid value is 80 to 180 mg/KOH; (B) a photo-polymerizable compound; and (C) a photopolymerization initiator.
A thermal image receiver element dry image receiving layer has a Tg of at least 25° C. and is the outermost layer. The dry image receiving layer has a dry thickness of at least 0.5 μm and up to and including 5 μm. It comprises a water-dispersible release agent and a polymer binder matrix that consists essentially of: (1) a water-dispersible acrylic polymer comprising chemically reacted or chemically non-reacted hydroxyl, phospho, phosphonate, sulfo, sulfonate, carboxy, or carboxylate groups, and (2) a water-dispersible polyester that has a Tg of 30° C. or less. The water-dispersible acrylic polymer is present in an amount of at least 55 weight % and at a dry ratio to the water-dispersible polyester of at least 1:1. The thermal image receiver element can be used to prepare thermal dye images after thermal transfer from a thermal donor element.
The particulate material production method includes vibrating a particulate material composition liquid in a liquid column resonance chamber having at least one nozzle to form a standing wave in the particulate material composition liquid caused by liquid column resonance, so that droplets of the particulate material composition liquid are ejected in a droplet ejection direction from the nozzle so as to fly in a space in a flight direction; feeding a gas in a direction substantially perpendicular to the droplet ejection direction to change the flight direction of the ejected droplets; and solidifying the droplets in the space to produce a particulate material. The particulate material composition liquid includes at least a solvent and a component of the particulate material dissolved or dispersed in the solvent, and the nozzle is located at a location corresponding to an anitnode of the standing wave.
The present disclosure describes toner compositions comprising an alkyl surface-treated silica, which toners exhibit improved tribo-charging, second transfer efficiency and IQ without impacting color.
Blank substrates for an extreme ultraviolet (EUV) photo mask are provided. The blank substrate includes a substrate, a reflection layer on the substrate, an absorption layer on the reflection layer opposite to the substrate, and a critical dimension (CD) compensation layer on the absorption layer opposite to the reflection layer. Methods of forming an extreme ultraviolet (EUV) photo mask using the blank substrate are also provided.
A system and method for preventing anode reactant starvation. The system includes a hydrogen source, an anode bleed valve, and a cell voltage monitor. The system also includes an anode sub-system pressure sensor and a controller configured to control the anode sub-system. The controller determines the average cell voltage and estimates the hydrogen molar fraction and/or nitrogen molar fraction in the anode sub-system. The controller also receives measurement data from the cell voltage monitor and the pressure sensor, and determines whether there is a decrease in the minimum cell voltage in response to changes in the anode pressure. If the controller detects a decrease in the minimum cell voltage in response to changes in the anode pressure, the controller corrects for the decrease by increasing anode pressure and/or by decreasing the molar fraction of nitrogen in the anode sub-system.
Provided is a fuel cell system including a fuel cell which is a DC power source and boosting unit which boosts the output voltage of the fuel cell for supply to a load. The boosting unit has: a main boosting unit which has a switch and a coil and boosts the output voltage of the fuel cell by a back electromotive force of the coil generated by a switching operation of the switch with respect to the coil; and a sub boosting unit having a snubber capacitor which adjusts a potential difference between the both electrodes of the switch by an accumulation amount and reduces the switching loss of the switch by adjusting the accumulation amount of the snubber capacitor upon a switching operation. The electricity of the snubber capacitor discharged when reducing the accumulation amount of the snubber capacitor is made to flow into processing unit other than the fuel cell by the sub boosting unit.
A process for shutting down a fuel cell power plant (5) shuts off (40) process air, recycles (44-46) air exhaust 42 to air inlets 34, and connects an auxiliary load to the stack (6). Coulombs are counted by integrating (17) current (73) or voltage (75) to the load to determine when all oxygen in the air side (10, 27, 30, 34, 42, 44-47) of the power plant is consumed and a desired concentration of hydrogen is transferred to the air side of the power plant. The speed of the shutdown processes may be increased by increasing fuel pressure (15) or adding a battery (78) in series with the auxiliary load.
A non-aqueous electrolyte secondary battery including a unit cell including a positive electrode, a negative electrode, a separator disposed between the positive electrode and the negative electrode, and a non-aqueous electrolyte, the positive electrode capacity being greater than the negative electrode capacity, and at least a portion of the non-aqueous electrolyte is gasified during charging.
Disclosed are gel electrolytes comprising a polymer, which is a cross-linked polyurethane prepared from a poly(alkyleneoxide) triol and a diisocyanate compound; a lithium salt; and a solvent, which is a carbonate solvent, a lactone solvent, or mixtures thereof.
A negative electrode plate for a nonaqueous electrolyte secondary battery, which includes a collector, and an electrode active material layer that is arranged on the collector. The electrode active material layer contains a negative electrode active material, and a metal oxide or an elemental metal. The negative electrode active material is firmly affixed onto the collector by the metal oxide or elemental metal.
An electrochemical cell in one embodiment includes a negative electrode including a form of lithium, a positive electrode spaced apart from the negative electrode, a separator positioned between the negative electrode and the positive electrode, and a moderator layer positioned between the negative electrode and the separator.
Combinations of materials are described in which high energy density active materials for negative electrodes of lithium ion batteries. In general, metal alloy/intermetallic compositions can provide the high energy density. These materials can have moderate volume changes upon cycling in a lithium ion battery. The volume changes can be accommodated with less degradation upon cycling through the combination with highly porous electrically conductive materials, such as highly porous carbon and/or foamed current collectors. Whether or not combined with a highly porous electrically conductive material, metal alloy/intermetallic compositions with an average particle size of no more than a micron can be advantageously used in the negative electrodes to improve cycling properties.
A cylindrical battery gasket that will not functionally deteriorate in absorbing stress caused by the gasket extending radially upon the battery being sealed is provided with a boss part with a central hole through which a negative electrode collector is inserted, a canister contact part that is affixed in place and in contact with a cathode canister, a disk-shaped part that is provided to connect the boss part to the canister contact part, and a stress buffering part that is provided on the way to the disk-shaped pat. The stress buffering part has a first bent part and a second bent part, both of an acute angle, and is set nearer the center of the cathode canister than to the positive electrode mixture, upon the gasket being installed in the cathode canister.
A secondary battery includes an electrode assembly; a can containing the electrode assembly and including a plate, a wall extending from the plate in a first direction to a first end and defining a first cavity having a first opening opposite the plate, and a first extension wall extending from the first end in the first direction to a second end, the first extension wall defining a second cavity having a second opening opposite the plate; and a cap assembly including a cap plate and sealing the first cavity at the first opening.
An electricity storage module includes a casing 110 that includes an intake port 114 through which a cooling medium is taken in, located at one end of the casing, and an outlet port 115 through which the cooling medium is let out, located at another end of the casing. A plurality of electricity storage elements 140 are arrayed from the intake port 114 toward the outlet port 115 with clearances set between the electricity storage elements, and the clearances present between the electricity storage elements 140 are altered so as to achieve a higher flow velocity for the cooling medium on the outlet port side compared to the flow velocity of the cooling medium on the intake port side.
An integrated cooling fin and frame is described. The integrated cooling fin and frame includes a cooling fin having a cooling channel adjacent to at least one edge, the cooling channel having an inlet and an outlet; and a frame around the cooling fin and covering the edges of the cooling fin, the frame having an opening for the inlet and outlet of the cooling channel. A battery pack containing the integrated cooling fin and frame and a method of making the integrated cooling fin and frame are also described.
A low-coupling perpendicular magnetic recording media comprising a magnetic storage layer and at least one low saturation magnetization layer. The magnetic storage layer has a saturation magnetization between about 400-900 emu/cm3 and the at least one low saturation magnetization layer has a saturation magnetization below that of the magnetic storage layer.
There is provided an organic electroluminescence device including: a pair of electrodes formed of an anode and a cathode; and an organic compound layer provided between the pair of electrodes, in which: the organic compound layer contains a metal so that the metal partially forms a coordination bond with an organic compound; and a ratio of the number of metal atoms involved in the coordination to the total number of metal atoms in the layer is 0.11 or more to 0.42 or less. The organic electroluminescence device has excellent light emitting property that is not largely impaired even after the device is driven for a long time period.
The present invention relates to an acrylic pressure-sensitive adhesive resin composition including an organic-inorganic hybrid polymer synthesized from the following (a) to (d): (a) fine silica particles having silanol groups on a surface thereof; (b) a siloxane having at least one selected from a group consisting of an alkoxysilyl group and a silanol group at a molecular end thereof; (c) a trialkoxysilane represented by the following general formula (I) in which R1 represents a C1-6 alkyl group, and R1′ represents a hydrogen atom or a methyl group; and (d) a (meth)acrylic monomer:
The present invention relates to a pressure-sensitive adhesive tape or sheet having a light-reflective property and/or a light-shielding property, which includes a base material and at least one pressure-sensitive adhesive layer disposed on at least one surface of the base material. The pressure-sensitive adhesive layer is formed by an acrylic pressure-sensitive adhesive composition containing an acrylic polymer (a) and a low-molecular weight polymer component (b) which contains, as a principal monomer component, an ethylenic unsaturated monomer having a glass transition temperature of from 60 to 190° C. when it is formed into a homopolymer and having a cyclic structure within the molecule thereof, and which has a weight-average molecular weight equal to or higher than 3,000 but lower than 20,000. The pressure-sensitive adhesive layer has a gel fraction of from 51 to 75 wt % and a temperature, at a maximum of loss tangent (tan δ), of from −14 to 25° C.
A roofing product and method includes coating a substrate with bitumen to yield a bitumen coated substrate having an exposure zone. First granules are adhered to the exposure zone to yield a first granule coating. The first granules have a solar reflectance greater than 35. An adhesive is adhered to at least a portion of the first granule coating. An open portion of the first granule coating that is free of the adhesive is maintained. An overlay of non-white second granules is adhered to the adhesive, such that the overlay of second granules and the adhesive provide a raised structure above a plane of the first granule coating.
A snap in weatherstripping where the backing has a plurality of alternating notched out spaces along each side to facilitate ease of insertion into a channel without the need to slide the weatherstripping longitudinally into the channel. The snap in weatherstripping can be pushed into the channel with a gentle side to side or rolling motion, thus eliminating the difficulties associated with longitudinally sliding a weatherstripping into a channel. The snap in arrangement of the weatherstripping eases replacement, and also accommodates variations in tolerance between the weatherstripping and the channel, making it desirable for replacement of existing degraded weatherstripping.
The invention provides a master disc comprising a stack of a substrate, a phase transition material layer, a heat absorption layer provided between the substrate and the phase transition layer, and an anisotropic heat sink layer provided between the substrate and the absorption layer. Further, a method of manufacturing a master disc is provided. A stack having an upper side and a lower side is provided, wherein the stack comprises a substrate provided at the lower side of the stack, a phase transition material layer, a heat absorption layer provided between the substrate and the phase transition layer, and an anisotropic heat sink layer provided between the substrate and the absorption layer. The upper side of the stack is exposed to a laser beam and developed.
Providing a liquid crystal composition satisfies at least one of characteristics like a high maximum temperature of a nematic phase, a low minimum temperature of the nematic phase, a small viscosity, a suitable optical anisotropy, a large negative dielectric anisotropy, a large specific resistance, a high stability to ultraviolet light and a high stability to heat. Providing a liquid crystal composition has a suitable balance regarding at least two of the characteristics. Providing an AM device has a short response time, a large voltage holding ratio, a large contrast ratio, a long service life and so forth. The liquid crystal composition has a negative dielectric anisotropy and contains a specific compound having a large negative dielectric anisotropy as a first component and a specific three-ring compound having a large optical anisotropy and a negative dielectric anisotropy as a second component, and a liquid crystal display device contains the composition.
A method for manufacturing a poly- or microcrystalline silicon layer on an insulator comprises a silicon containing insulator, growing a thin adhesion promoting layer comprising amorphous silicon onto it and further growing a poly- or microcrystalline silicon layer onto the adhesion promoting layer. Such a sequence of layers, deposited with a PECVD method, shows good adhesion of the poly- or microcrystalline silicon on the base and is advantageous in the production of semiconductors, such as thin film transistors.
A formation method of a coating that coats a coated body with the coating includes: generating cylindrical plasma in a vacuum deposition chamber as well as supplying material gas into the vacuum deposition chamber; applying pulse voltage to the coated body; and attaching a shield member that shields an uncoated member to an uncoated part where the coating of the coated body is not formed with separation spacing over a coated part where the coating is to be formed for preventing decrease of hardness of the coating in the coated part.
Methods for improving surface roughness of an environmental barrier coating involving providing a component having a plasma sprayed environmental barrier coating; applying a slurry to the environmental barrier coating of the component, the slurry being a transition layer slurry or an outer layer slurry; drying the environmental barrier coating having the applied slurry; and sintering the component to produce a component having an improved surface roughness wherein the slurry includes water; a primary transition material, or a primary outer material; and a slurry sintering aid.
A method of continuously forming a thin film includes the step of: moving a glass substrate with a thin strip shape having a constant db/2(d+b), where d is a thickness thereof and b is a width thereof in a cross section thereof, within a range from 0.015 to 0.15 through a film depositing region in which a reaction gas is supplied and a temperature is controlled to be high so that the glass substrate is rapidly heated; and moving continuously the glass substrate, immediately after the film depositing region, to pass through a cooling region in which a temperature is lower than that of the film depositing region, so that the glass substrate is rapidly cooled and the thin film formed of a component of the reaction gas is formed on the glass substrate.
There is disclosed a method of forming crystalline tantalum pentoxide on a ruthenium-containing material having an oxygen-containing surface wherein the oxygen-containing surface is contacted with a treating composition, such as water, to remove at least some oxygen. Crystalline tantalum pentoxide is formed on at least a portion of the surface having reduced oxygen content.
A substrate is modified by exposing the substrate to a densified fluid. The substrate may be a polymer or a metal alloy, and the densified fluid may be carbon dioxide. Uses of such substrate modification include impregnation of the substrate with one or more drugs, impregnation of microcellular particles, surface modification of the substrate, and formation of microcellular compositions.
Disclosed herein is a composition for glucose sensing obtained by dispersing in a solvent such as acetone a nanofibrous membrane fabricated by electrospinning a mixture containing poly(vinylidene fluoride) and poly(aminophenylboronic acid). Also disclosed is a method of fabricating a non-enzymatic glucose biosensor based on an electrospun nanofibrous membrane by depositing the composition on an electrode.
A thickened juice beverage contains juice and homogenized pulp and/or homogenized finisher-derived solids. The beverage has a measured viscosity between about 50 and about 125 cps at the time of manufacture and the pulp or solids do not significantly change the smoothness or taste profile of the juice. The homogenized pulp and homogenized finisher-derived solids have an average particle size of less than 1000 microns and 1500 microns, respectively. Optionally, the juice beverage meets the standard of identity of a 100% juice, such as an orange juice.
The invention relates to the use of cannabinoid-containing plant extracts in the prevention or treatment of neural degeneration. In particular, the invention relates to use of one or more cannabinoid-containing plant extracts in the prevention or treatment of neural degeneration, wherein the one or more cannabinoid-containing plant extracts comprise: i) a cannabinoid-containing fraction; and ii) a non-cannabinoid containing fraction.
The invention is directed in part to oral dosage forms comprising a combination of an orally analgesically effective amount of an opioid agonist and an orally active opioid antagonist, the opioid antagonist being included in a ratio to the opioid agonist to provide a combination product which is analgesically effective when the combination is administered orally, but which is aversive in a physically dependent subject. Preferably, the amount of opioid antagonist included in the combination product provides at least a mildly negative, “aversive” experience in physically dependent addicts (e.g., precipitated abstinence syndrome).
A composition including pre-gel including at least one phenol-based compound, excluding phloroglucinol and derivatives, at least one water miscible polymer, at least one cross linking agent capable of interacting with the polymer. The polymer is selected from one or more natural and/or synthetic carbohydrate, and salt of an anionic polysaccharide.
The present invention describes soft gelatin capsules that encapsulate a water-insoluble active ingredient and an excipient composed of a crystallization inhibitor that stabilizes the water-insoluble inhibitor. The crystallization inhibitor being at least one mononacylglycerol compound whose acyl group is a fatty acid residue of 6-18 carbon atoms. The capsule contents are more resistant to turbidity, forming a coarse emulsion, and crystallization of the active ingredient compared with compositions absent the crystallization inhibitor.
A system for treating or providing prophylaxus against a pulmonary infection is disclosed comprising: a) a pharmaceutical formulation comprising a mixture of free antiinfective and antiinfective encapsulated in a lipid-based composition, and b) an inhalation delivery device. A method for providing prophylaxis against a pulmonary infection in a patient and a method of reducing the loss of antiinfective encapsulated in a lipid-based composition upon nebulization comprising administering an aerosolized pharmaceutical formulation comprising a mixture of free antiinfective and antiinfective encapsulated in a lipid-based composition is also disclosed.
A biostable polymeric substrate of an implantable medical device unit includes a demand-release bioactive composition including one or more bioactive agents covalently bound to surface-modifying end groups of the substrate. Certain cellular activities, in proximity to the polymeric substrate, release substances reacting with the end groups such that the end groups release the one or more bioactive agents, which modify the certain cellular activities.
A method and device for local delivery of water-soluble or water-insoluble therapeutic agents to the surface of a normal or diseased body lumen is disclosed. An expandable structure of a medical disposable device, such as a balloon of a balloon catheter, is coated with an amphiphilic polymer coating comprising a therapeutic agent and an amphiphilic polymer or co-polymer. The medical disposable device is inserted into a body lumen, and expanded to contact the amphiphilic polymer coating against the body lumen. The total solubility of the polymer or co-polymer in vivo prevents any embolic hazard associated with the amphiphilic polymer coating.
The present invention relates to compositions and methods for the treatment of topical skin conditions, comprising of cocoa butter, petroleum jelly, jojoba oil, dihydrogen oxide, Stearic acid, Anhydrous Magnesium Sulphate, Zinc Oxide, Glycerin and a suitable amount of a pharmaceutically acceptable shea butter.
The present invention provides an oil-in-water emulsion composition having excellent emulsion stability, low sticky feeling, and low skin irritation. The oil-in-water emulsion composition according to the present invention comprising (a) 1 to 20 mass % of a powder component, (b) 0.001 to 0.5 mass % of a cationic surfactant having two alkyl chains with 12 or more and 22 or less carbon atoms, (c) an oil phase component, and (d) a water phase component, having a structure wherein (a) powder particles are adsorbed on the oil droplets dispersed in the water phase.
Disclosed is a process for the preparation of laquinimod sodium which removes the impurities after the salt formation step, thus resulting in crystals of higher purity as well as crystals having improved crystalline characteristics.
The present invention relates to methods of treating a cancer and in particular, a B-cell derived cancer, using a lymphocytotoxic but hematopoeitic cell sparing high-dose pulsed amount of an oxazaphosphorine drug in combination with immune therapeutics such as, for example, an autologous idiotypic vaccine and monoclonal antibodies that selectively bind B-cell specific antigens.
The present invention relates to an isolated antigen from Streptomyces coelicolor that is useful for developing, inter alia, vaccines against pathogenic bacteria of humans and animals. The present invention also relates to vaccines and antibodies developed using the isolated antigen. The present invention also relates to methods of using the antigen, vaccines, and antibodies of the present invention to detect, treat, and prevent infection and diseases associated with pathogenic bacteria.
The present invention provides recombinant replication-defective adenoviral vectors derived from chimpanzee adenoviruses and methods for generating recombinant adenoviruses in human E1-expressing cell lines. The invention also provides compositions and methods suitable for use for the delivery and expression of transgenes encoding immunogens against which a boosted immune response is desired. The invention further provides methods of generating clinical grade vector stocks suitable for use in humans. In a particular embodiment the invention contemplates the use of vectors comprising transgenes which encode tumor associated antigens in vaccines and pharmaceutical compositions for the prevention and treatment of cancer.
The invention relates to a method for producing a modified viral strain of a virus which is a member of the Reoviridae family and, in particular, relates to vaccinal viral strains of the Orbivirus genus.
The present invention relates to particularly stable and soluble scFv antibodies and Fab fragments specific for TNF, which comprise specific light chain and heavy chain sequences that are optimized for stability, solubility, in vitro and in vivo binding of TNF, and low immunogenicity. Said antibodies are designed for the diagnosis and/or treatment of TNF-mediated disorders. The nucleic acids, vectors and host cells for expression of the recombinant antibodies of the invention, methods for isolating them and the use of said antibodies in medicine are also disclosed.
A method of detecting MSDX Complex-1, the method introducing a first antibody to a sample to create an antibody-sample mixture, wherein the first antibody is specific for one of fibrinogen, fibronectin, or fibulin-1, the first antibody having a label molecule; providing a well coated with a second antibody, the second antibody is specific for one of fibrinogen, fibronectin, or fibulin-1; introducing the antibody-sample mixture to the well; and introducing a substrate to the antibody-sample mixture in the well, wherein the label molecule and the substrate interact to provide a signal, wherein when the signal is detected then MSDX Complex-1 is detected.
The present invention relates to antibodies which bind to C5aR and which are useful in diagnostic and therapeutic methods. The antibodies of the present invention are reactive with an extracellular loop of C5aR other than the N-terminal domain and are capable of substantially reducing or inhibiting the binding of C5a to C5aR and functional consequences of neutrophil chemoattractant receptor activation.
A humanized antibody derived from mouse monoclonal anti-CD4 antibody B-F5 is able to activate CD25+CD4+ regulatory T cells and is useful for preparing immunosuppressive compositions.
The invention relates to fully human monoclonal antibodies, and fragments thereof, that bind to the chemokine Regulated upon Activation, Normal T-cell Expressed, and Secreted (RANTES, CCL5), thereby modulating the interaction between RANTES and one of more of its receptors, such as, e.g., CCR1, CCR3, CCR4 and CCR5, and/or modulating the biological activities of RANTES. The invention also relates to the use of these or any anti-RANTES antibodies in the prevention or treatment of immune-related disorders and in the amelioration of one or more symptoms associated with an immune-related disorder.
This invention relates to an improved chlorine dioxide solution or liquid mixture containing a phosphate and, as well, as to a composition for forming the chlorine dioxide and phosphate liquid mixture. This improved chlorine dioxide solution is used to clean and/or sanitize without causing corrosion. The corrosion nature of the chlorine dioxide solution is lessened due to the addition of phosphate to the composition.
Provided are novel biocompatible copolymers and compositions comprising the copolymers. The copolymers are non-toxic and typically have an LCST below 37° C. Compositions comprising the copolymers can be used for wound treatment, as a cellular growth matrix or niche and for injection into cardiac tissue to repair and mechanically support damaged tissue. The copolymers comprise numerous ester linkages so that the copolymers are erodeable in situ. Degradation products of the copolymers are soluble and non-toxic. The copolymers can be amine-reactive so that they can conjugate with proteins, such as collagen. Active ingredients, such as drugs, can be incorporated into compositions comprising the copolymers.
The present invention describes blood cells chemically coupled with immunodominant myelin peptides and their use in the treatment of Multiple Sclerosis.
Novel strains and methods for their use are provided. Particularly, foods and other oral products or treatments containing sporulation-deficient Brevibacillus strain when administered to a subject can inhibit or reduce the number of pathogens in the subject and improve the health of the subject.
It is intended to provide a composition having an excellent anti-obesity action without potential for adverse side effects and the like even if taken for a long period of time. The composition is an anti-obesity composition containing an acacia bark derivative.
The present invention relates to an oral care composition, which contains the following components (A), (B) and (C): (A) an inorganic acid and/or an organic acid, (B) an anionic surfactant, (C) at least one compound selected from polyglycerin fatty acid esters, sorbitan fatty acid esters, polyoxyethylene higher alcohol ethers having from 6 to 14 carbon atoms, polyoxyethylene fatty acid esters and polyoxyethylene polyoxypropylene copolymers. The oral care composition according to the present invention is significantly excellent in reducing astringency and bitterness inherent in anionic surfactants.
The present invention relates to methods and products associated with in vivo enzyme profiling. In particular, the invention relates to methods of in vivo processing of exogenous molecules followed by detection of signature molecules as representative of the presence of active enzymes associated with diseases or conditions. The invention also relates to products, kits, and databases for use in the methods of the invention.
A method of crystallizing a crystalline molecular sieve having a pore size in the range of from about 2 to about 19 Å, said method comprising the steps of (a) providing a mixture comprising at least one source of ions of tetravalent element (Y), at least one trivalent element hydroxide source (OH−), and water, said mixture having a solid-content in the range of from about 20% to about 30%; and (b) treating said mixture to form the desired crystalline molecular sieve with stirring at crystallization conditions sufficient to obtain a weight hourly throughput from about 0.005 to about 1 hr−1, wherein said crystallization conditions comprise a temperature in the range of from about 200° C. to about 500° C. and a crystallization time less than 100 hr, wherein said crystalline molecular sieve has a zeolite framework type of MFI.
Apparatus and methods are described for separate heating of substrate, catalyst and feedstock/transport gases for the controllable CVD synthesis of various carbon nanotubes and nanostructures, and particularly for CVD growth of oriented forests of multi-wall CNT forests, which are highly dry-spinnable into sheets and yarns.
The present invention relates to an apparatus for producing alcohols from olefins, comprising: a hydroformylation reactor wherein aldehydes are produced from olefins; a catalyst/aldehydes separator; a hydrogenation reactor wherein the aldehydes are hydrogenated to produce alcohols; and a distillation column. The hydroformylation reactor is equipped with a distributor plate, which has a broad contact surface for providing sufficient reaction area for reactants such as olefins and synthesis gas, and allows the reaction mixture to circulate and mix sufficiently, which contribute to excellent efficiency in terms of production of aldehydes. In addition, the hydrogenation reactor suppresses sub-reactions to improve the production yield of alcohols.
A reactor includes respective first and second introduction passages for introducing first and second reactants, a merging passage in which the first reactant merges with the second reactant, and a reaction passage in which the two merged reactants react with each other. First and second introduction grooves respectively constituting part of the first and second introduction passages are formed in a first surface of the base of the flow path structure of the reactor, while a reaction groove constituting part of the reaction passage is formed in a second surface of the base. A merging hole constituting part of the merging passage runs from the first surface of the base to the second surface thereof. The downstream end of the first introduction groove and the downstream end of the second introduction groove merge at the merging hole from different directions.
The present invention provides a method for fixing and/or stabilizing a sample, in which the sample is put into a permeable container with a maximum overall height of 10 mm, preferably of 5 mm, and the container filled with the sample is immersed in fixing and/or stabilizing agents and the sample is fixed and/or stabilized.
A fluid control and processing system for controlling fluid flow among a plurality of chambers comprises a body including a fluid processing region continuously coupled fluidicly with a fluid displacement region. The fluid displacement region is depressurizable to draw fluid into the fluid displacement region and pressurizable to expel fluid from the fluid displacement region. The body includes at least one external port. The fluid processing region is fluidicly coupled with the at least one external port. The fluid displacement region is fluidicly coupled with at least one external port of the body. The body is adjustable with respect to the plurality of chambers to place the at least one external port selectively in fluidic communication with the plurality of chambers.
The invention relates to a sensor having a color-changeable sensory surface, characterized in that at least one molecular layer of a positively charged polymer (4) is bonded to a further molecular layer of a negatively charged polymer (5) in alternation by means of ionic forces, wherein a solvent is stored in the charged polymer layers (4, 5), whereby the polymer layers swell at least 10%, and colored, preferably metal or semiconducting nanoparticles (6) are bonded to the last charged polymer molecular layer, and the total layer thickness of the inert intermediate layer (3) and all polymer layers (4, 5) is at least 40 nm but less than 500 nm so that the layer setup has an interference color that is visible to the human eye or measurable in the infrared and that can be changed by means of interaction with an analyte, the interference color being caused by optical interference between the material surface (2) and the layer of the nanoparticles (6).
An apparatus and method for hydrating lime in a horizontally disposed vessel where adjacent oppositely rotating shafts have first sets of spaced blades extending coaxially with an axis of each shaft and an adjacent second set of blades extending to an angle to the longitudinal axis, the blades directing a mixture of quicklime and water upwardly into a space between the shafts and towards the cover, while forming hydrated lime.
A composite continuous countercurrent fluidized moving bed (FMB) and/or expanded moving bed (EMB) may be used for carrying out processes of recovery, purification or reaction of single or multiple component/s of interest, by contacting liquid phase containing the component/s with a solid adsorbent in continuous countercurrent mode. The net movement of the solids is against the liquid, flowing in upward direction through stages/columns, and sedimenting solids from the one stage/column are continuously fed to the top of another stage/column placed below or alongside of previous stage/column; and also operating in fluidized/expanded bed mode, wherein its countercurrent contact with up-flowing liquid is carried out. A system described herein may include a number of stages/columns. The FMB/EMB system can be used in processes for continuous recovery, purifications or reactions of various products.
An apparatus for synthesis and assaying of materials is provided that significantly improves throughput efficiency by allowing for material synthesis and assaying in the same assembly while possessing the ability to reach higher pressures and higher temperatures than existing designs capable of synthesis and assaying in the same assembly. In addition, the apparatus provides for gas flow over the material sample, allowing for a number of materials to be synthesized within the apparatus by gas synthesis.
A hydrogen generator of the present invention includes: a reformer (1) including a reforming catalyst (1A) containing nickel and configured to generate a hydrogen-rich fuel gas by using a raw material and steam; a temperature detector (12) configured to detect a temperature of the reforming catalyst (1A); a purge gas supplying device (7) configured to supply a purge gas to the reformer (1); and a controller (13). When the temperature detected by the temperature detector (12) is a first predetermined temperature or higher, the controller (13) purges the reformer (1) with the purge gas supplied from the purge gas supplying device (7).
The invention provides devices, systems, and methods for detecting an analyte vapor. Particularly, electronegative analyte vapors, such as those vapors evolving from explosive compounds, are typical analytes detected the devices. The devices operate using a resistivity change mechanism wherein a nanostructured chemiresistive material undergoes a resistivity change in the presence of an analyte vapor. A resistivity change indicates the presence of an analyte.
A portable apparatus for measuring a glucose level of a user having: a card-like member; a processor within the card-like member; at least one glucose sensor comprising a reagent, the glucose sensor generating a signal indicative of a measured glucose level upon application of a blood sample to the glucose sensor, wherein the glucose sensor is fixed to the card-like member and operably coupled to the processor; and at least one cover alterable between a first position in which the glucose sensor is covered and a second position in which the glucose sensor is exposed for use.
The invention concerns a test element analytical system for the analytical examination of a sample, especially a body fluid, comprising at least one test element with one or more measuring zones and contact areas located on the test element, in particular electrodes or conductor paths, the sample to be examined being brought into the measuring zone to carry out an analysis in order to determine a characteristic measured quantity for the analysis, and an evaluation instrument with a test element holder for positioning the test element in a measuring position and a measuring device for measuring the characteristic change, the test element holder containing contact elements with contact areas which enable an electrical contact between the contact areas of the test element and the contact areas of the test element holder, characterized in that one of these contact areas is provided with an electrically conductive hard material surface.
Methods of extruding a honeycomb body with an extruder comprise the step of feeding batch material to the extruder, wherein the batch material comprises a ceramic or ceramic-forming material. The methods further include the step of rotating at least one mixing screw to cause the batch material to travel along a flow path defined by a barrel of the extruder. The methods further include the step of indexing a carriage to remove a first device from the flow path and introduce a second device into the flow path of the batch material. In one example, the pressure of the batch material changes less than about 25% as a result of indexing the carriage. In addition or alternatively, further methods include the step of reducing a decrease in temperature of the batch material resulting from the step of indexing. In further examples, the method includes the step of pre-filling a second honeycomb extrusion die held by the carriage with a plugging material.
A method for depositing material at an intersection region (116) of at least two surfaces (102,104,106,108,110) of a component (100). The method comprises a first deposition process providing material at said intersection and directing an energy beam (114) toward the intersection region to join the material to the component. The beam is maintained at an obtuse angle relative to at least two of the surfaces such that substantially all of the working area of the beam is incident upon the intersection region.
The present invention generally provides implantable articles and methods of forming implantable articles from a crosslinked ultrahigh molecular weight polyethylene (“UHMWPE”) blend stabilized with Vitamin E. The crosslinked UHMWPE blend may be prepared by combining the UHMWPE material and vitamin E prior to irradiating the UHMWPE blend with electron beam radiation at a sufficient radiation dose rate to induce crosslinking. The crosslinked UHMWPE blend may be incorporated into a variety of implants, and in particular, into endoprosthetic joint replacements.
The present invention describes a fire resistant building material composition, useful for example as a fire door core and to a method of making this composition. The building material of the present invention is prepared from an aqueous mixture of predominately expanded perlite, a small amount of a binder component consisting essentially of calcined gypsum and an organic binder, and optionally a fibrous reinforcement.
A multi-layer structure comprising a functional resin layer obtained by covering a core layer of a base body resin or a second functional resin with a shell layer of a first functional resin, and a base body resin layer containing the functional resin layer therein. The layers of the functional resins are formed at positions where they are allowed to exhibit their functions to a sufficient degree, a plurality of functions can be imparted, and a molten resin mass having the above multi-layer structure can be formed by the compression-forming.
A roller nanoimprint apparatus is disclosed which is capable of preventing a workpiece film with nanostructures having been transferred from the mold roller from being uneven in thickness and allowing easy replacement of the mold roller. At least one embodiment of the present invention is directed to a roller nanoimprint apparatus including a mold roller and continuously transferring nanosized protrusions to a surface of a workpiece film by rotating the mold roller, wherein the mold roller is a cylindrical body having an outer circumference surface with nanosized recesses formed thereon, the roller nanoimprint apparatus further includes a fluid container having an elastic film inflatable by injecting fluid into the container, the fluid container being arranged in a region defined by an inner circumference surface of the mold roller, the mold roller is mounted or demounted when the elastic film is shrunken, and the mold roller is supported from the inside when the elastic film is inflated.
The present invention relates to an apparatus for producing plastic parts interspersed with reinforcing fibers, with a mixing chamber (20) for producing a mixture of chemically reactive polymer components, with an outlet chamber (38), which is arranged downstream of the mixing chamber and in which a cleaning ram (28) in which a fiber conveying channel (32) is formed is guided in a reciprocatingly movable manner. The invention is characterized in that the end face of the cleaning ram is provided with a die (34), through which the fiber conveying channel (32) extends and arranged on the outer circumference of which there is an annular groove (40), which is at a distance from the end of the die (34) and can be positioned in such a way that, in a first working position, a flow connection between the mixing chamber (20) and the annular groove (40) is formed and, in another working position of the cleaning ram (28), the flow connection between the mixing chamber (20) and the annular groove (40) is interrupted and in that at least one die channel (42), preferably a plurality of die channels (42), is/are formed between the annular groove (40) and the outlet-side end of the die (34), said channel(s) opening at the end face into the outlet chamber (38).
A carbon/carbon part and a process for making carbon/carbon parts is provided. The process involves forming steps, carbonization steps and densification steps. The forming steps may include needling fibrous layers to form fibers that extend in three directions. The carbonization steps may include applying pressure to increase the fiber volume ratio of the fibrous preform. The densification steps may include filling the voids of the fibrous preform with a carbon matrix.
Various embodiments are disclosed relating to fabrication of an optical wedge. For example, one embodiment provides a method for manufacturing an optical wedge comprising inserting a wedge blank into a vacuum molding tool and applying a vacuum to the vacuum molding tool to temporarily hold the wedge blank against a molding surface of the vacuum molding tool. The method further comprises removing a layer from a top surface of the wedge blank to expose a machined surface of the wedge blank, and casting a finish layer on the machined surface to form a finish layer of a finished optical wedge.
Copolymers of formula (I): where each A is S, Se or C═C; each x is an integer from 1 to 4; each R1 is independently H, F, CN or a C1-C20 linear or branched aliphatic group; Ar is one or more substituted or unsubstituted aromatic units; and, n is an integer 5 or greater, can be formed into films or membranes that are useful as active layers in organic electronic device, such as PV solar cells, providing high power conversion efficiencies and good thermal stability. Such copolymers may be synthesized from monomers of formula (II): by Stille or Suzuki coupling reactions. Such monomers may be synthesized by a variation of the Pinner synthesis.
An optical film includes a transparent support and at least one antistatic layer formed from a composition containing an electrically conductive polymer, a polyfunctional monomer having two or more polymerizable groups, at least one compound selected from a compound represented by the formula (1) as defined herein, a compound represented by the formula (2) as defined herein and a trivalent phosphorus compound, and a photopolymerization initiator.
Light emitting devices that include an energy source configured to generate light energy and an up-conversion phosphor configured to emit light having a wavelength shorter than that of the light energy generated from the energy source are provided. The up-conversion phosphor comprises an ordered oxyfluoride compound having a formula: A3−3a/2RaMO4−δ1−w′F1−δ2−w″Nw. Methods are also generally disclosed for up-converting light energy.
The scintillation material has a maximum oxygen content of 2,500 ppm and is a compound of formula LnX3 or LnX3:D, wherein Ln is at least one rare earth element, X is F, Cl, Br, or I; and D is at least one cationic dopant of one or more of the elements Y, Zr, Pd, Hf and Bi and, if present, is present in an amount of 10 ppm to 10,000 ppm. The process of making the scintillation material includes optionally mixing the compound of the formula LnX3 with the at least one cationic dopant, heating the compound or the mixture so obtained to a melting temperature to form a melt, adding one or more carbon halides and then cooling the melt to form a crystal or crystalline structure. The maximum oxygen content of the scintillation material is preferably 1000 ppm.
A liquid crystal alignment agent includes a polymer and an organic solvent for dissolving the polymer. The polymer is obtained by subjecting a diamine composition and a tetracarboxylic dianhydride compound to a polymerization reaction. The diamine composition includes a first diamine compound, a second diamine compound, and a third diamine compound as defined in the specification.A liquid crystal alignment film formed from the liquid crystal alignment agent and a liquid crystal display element including the liquid crystal alignment film are also disclosed.
Disclosed is a cathode for secondary batteries comprising a compound having a transition metal layer containing lithium as at least one compound selected from the following formula 1: (1−x)Li(LiyM1-y-zMaz)O2-bAb*xLi3PO4 (1) wherein M is an element stable for a six-coordination structure, which is at least one selected from transition metals that belong to first and second period elements; Ma is a metal or non-metal element stable for a six-coordination structure; A is at least one selected from the group consisting of halogen, sulfur, chalcogenide compounds and nitrogen; 0
The invention relates to a LiaNixCoyMzO2±eAf composite oxide for use as a cathode material in a rechargeable battery, with a non-homogenous Ni/Al ratio in the particles, allowing excellent power and safety properties when used as positive electrode material in Li battery. More particularly, in the formula 0.9
A method and apparatus for planarizing magnetically susceptible layers of substrates is provided. A patterned resist is formed on the magnetically susceptible layer, and the substrate is subjected to a plasma immersion ion implantation process to change a magnetic property of the magnetically susceptible layer according to the pattern of the resist material. The substrate is subjected to a plasma material removal process either before or after the implantation process to planarize the surface of the magnetically susceptible layer resulting from the implantation process. The plasma material removal process may be directional or non-directional.
The invention relates to a method for purifying wastewaters of melamine systems. The method is characterized in that triazine-containing wastewater is subjected to a thermal pretreatment stage, whereupon the vapors are condensed from the gas phase of the thermal pretreatment stage, and the liquid phase of the thermal pretreatment stage is subjected to a thermal hydrolysis stage while NH3 is isolated from the obtained liquid phase containing H2O, CO2, and NH3. The inventive method makes it possible to compensate varying wastewater qualities, thus allowing the melamine system and wastewater station to be operated in a constant and safe fashion. Furthermore, the strain on the subsequent thermal hydrolysis stage is relieved with the aid of the thermal pretreatment stage of the inventive method.
An oil spill recovery vessel (10) equipped with a skimmer unit (11) moves forward through an oil spill (6) at a normal skimming speed with its skimming unit operating to recover oil, and simultaneously transfers recovered oil through an oil transfer hose (17) to a bladder (19) being towed by the vessel using a towing cable (14). The oil transfer hose (17) and towing cable (14) attach to the vessel in substantially the same location situated approximately on the fore-aft centerline of the vessel and at least one third of the vessel's length from the stern or more forwardly an oil transfer bollard (15) of the vessel. In one embodiment the oil transfer hose (17) and towing cable (14) attach to an oil transfer bollard unit (15) comprising a towing post and an oil transfer pipe. The oil transfer bollard (15) can also be used to transfer oil from a towed unit to the vessel.
A portable system for extracting iodine from brine on-site is disclosed. The portable system includes a mobile platform containing a treatment unit for oxidizing iodine ions into elemental iodine, an adsorption unit capable of binding iodine, and may also have an electrolytic cell and/or a gas-liquid separator. The treatment unit and the adsorption unit may be located in the same or different portable operating devices. When transported to a field site containing natural gas wells, the natural gas wells provide a fluid stream containing natural gas and brine. The separators can separate the natural gas from the brine, and the brine is then run through the treatment unit and the adsorption unit to bind iodine present in the brine. The brine is then returned to the natural gas well. Upon saturation, the portable operating device is transported to a second location, where iodine is extracted from the adsorption unit.
A system for enhancing an activated sludge process including at least one biological reactor. A weighting agent impregnation subsystem is coupled to the biological reactor for mixing biological flocs and weighting agent to impregnate the weighting agent into the biological flocs to form weighted biological flocs. A weighting agent recovery subsystem is configured to recover the weighting agent from the weighted biological flocs and reintroducing the recovered weighting agent to the weighting agent impregnation subsystem.
A dialysis machine, in particular a peritoneal dialysis machine, to which a fluid system having a multi-chamber container with at least two chambers with individual solutions separated by a partition arrangement to be opened mechanically can be coupled includes a controller and at least one sensor for the determination of a measured variable in the fluid system. The controller has an apparatus for the automatic checking of the proper opening of the partition arrangement with reference to the measured variable determined by the sensor.
A process, system, and component configuration are described that discourages customer acceptance/use of will-fit, reconditioned, and counterfeit product components, by determining whether or not a serviceable product component is genuine. If a component is determined to not be genuine, then appropriate action may be taken to warn operators and document such findings. For example, one or more markers are disposed or otherwise put on the subject serviceable product component and serves as a targeted feature, and/or a particular characteristic of the filter itself is identified as the targeted feature. A sensor is used to detect the targeted feature and obtain information unique to the serviceable product component. The targeted feature(s) identifies the particular serviceable component as genuine and forms the basis for determining whether a genuine component has been installed. In some circumstances, a fluid filter product is the component that is the subject detection.
The present invention provides a magnet unit and a magnetron sputtering apparatus which can suppress the consumption amount of a target by efficiently consuming the target and can easily cause erosion on the target to progress uniformly regardless whether the target size is small or large and whether the target is made of magnetic material or not. A magnet unit according to an embodiment of the present invention includes a member configured to be provided with a predetermined magnet, an internal magnet unit which is provided for the member and includes n magnet elements extending radially in the surface of the member from a predetermined position of the member in at least n (n: positive integer equal to or larger than 3) directions, the n magnet elements having one polarity on a side opposite to the member, and an external magnet unit which is provided for the member so as to surround the internal magnet unit along the shape of the internal magnet unit, the external magnet unit having the other polarity on a side opposite to the member.
The present invention relates to a press device (1) that comprises an extended nip roll (2) and a counter roll (3). The extended nip roll (2) has a flexible jacket (4) and a support body (5) inside the flexible jacket (4). The extended nip (2) roll also has internal means for causing the support body (5) to move or expand radially towards an inner surface (6) of the flexible jacket (4) to form a nip with the counter roll. The rolls (2, 3) have axial ends (7, 8, 10, 11) that are supported in bearing housings (9, 12). One or several actuators (13) such as hydraulic cylinders connects bearing housings (9, 12) to each other and the actuator or actuators (13) are arranged to act on the bearing housings (9, 12) to cause them to move to each other in a closing movement. A mechanical stop (14) which is separate from the rolls (2,3) is arranged to halt the closing movement. The invention also relates to a machine that uses the press device and to a method of operating the press device.
To provide a water disintegratable fibrous sheet with high water disintegratability and wet strength, that can be used as a cleaning sheet. A prescribed water disintegratable fibrous sheet comprising 30-50% by mass of unbeaten pulp (a) with a beating degree of 700 cc or greater; 20-40% by mass of beaten pulp (b) with a beating degree of 400-700 cc; 15-45% by mass of regenerated cellulose (c) with a beating degree of 700 cc or greater; and 2-15% by mass of fibrillated purified cellulose (d) with a beating degree of 0-400 cc.
A solution supplying unit includes a body, a first supplying tube and a second supplying tube. The body includes a chamber having a substantially circular cross-section to receive a solution and an out-flowing part connected to the chamber to flow out the solution. The first supplying tube is disposed at a side of the body, tangentially connected to the chamber, and supplying a first solution into the chamber to rotate the first solution in the chamber. The second supplying tube has an end portion, and supplying a second solution into the chamber to mix the first solution with the second solution. The end portion is formed through the body and is adjacent to a central axis of the body.
A method for labeling an object such as a biological sample, including printing at least two different light-emitting species onto a substrate which are capable of displaying a unique optical signature when excited; and externally attaching the object to the printed at least two different light-emitting species. Also, a method for conducting a large scale test, including printing a first label onto a first substrate, the first label comprising at least two different light-emitting species capable of displaying a first unique optical signature when excited; printing a second label onto the first substrate or a second substrate, the second label comprising at least two different light-emitting species capable of displaying a second unique optical signature when excited; externally attaching a first object, such as a first biological sample to the first label; externally attaching a second object, such as a second biological sample to the second label; processing the first and second objects in a combined manner; analyzing the processed first and second objects; and identifying the analyzed first and second objects using their respective first and second labels.
Provided is a method of manufacturing a translucent rigid substrate laminate to improve a positional precision while increasing production efficiency. Further, a translucent rigid substrate bonding apparatus contributing to improvement of the positional precision while increasing production efficiency of a plate-shaped product is provided. In the method of manufacturing the translucent rigid substrate laminate and the translucent rigid substrate bonding apparatus according to the present invention, when translucent rigid substrates are bonded in a predetermined positional relationship by interposing a photo-curable fixing agent therebetween, only the fixing agent present on an outer boundary portion of both translucent rigid substrates is cured for provisional fastening.
Methods for removing and preventing the buildup of unwanted deposits and varnishes on combustion chamber surfaces, particularly injector-igniter components that are exposed to combustion events. A method of removing deposits from an injector-igniter comprises monitoring the current across a pair of electrodes in the injector-igniter, comparing the current with a predetermined threshold level, and performing a cleaning cycle if the current exceeds the threshold level. The cleaning cycle may comprise injecting oxidant through the injector-igniter and into the combustion chamber. The cleaning cycle may further comprise ionizing the oxidant with an electrical discharge having a first polarity and ionizing the oxidant a second time with an electrical discharge having a second polarity. In other cases the cleaning cycle comprises injecting hydrogen through the injector-igniter and into the combustion chamber. In still other cases the cleaning cycle may comprise injecting coolant onto the electrodes.
A crucible (50) of the present invention includes: an opening (55a) from which vapor deposition particles are injected toward a film formation substrate on which a film is to be formed; a focal point member (54a), provided so as to face the opening (55a), which reflects vapor deposition particles injected from the opening (55a); and a revolution paraboloid (55b) which reflects, toward the film formation substrate, vapor deposition particles which have been reflected by the focal point member (54a).
A take-up vacuum processing apparatus includes a chamber, a roller-shaped first electrode rotatably disposed within the chamber, a gas supply unit including a second electrode, and a third electrode. The first electrode causes the flexible processing target to travel by rotating. The third electrode is connected to an alternating-current source and does not contact the first electrode. An alternating-current voltage of the alternating-current source is applied between the third electrode and the first electrode. The chamber includes a divider plate for separating the chamber into a first room in which the second electrode is arranged and a second room in which the third electrode is arranged. Pressures of the first and second rooms are individually adjusted, such that plasma can be generated between the first electrode and the second electrode, and such that anomalous discharge is not generated between the first electrode and the third electrode.
Disclosed is a substrate processing apparatus which comprises reaction tubes (3,4) for processing multiple substrates (27), a heater (5) for heating the substrates, and gas introducing nozzles (6,7,8,9,10) for supplying a gas into the reaction tubes. Each of the gas introducing nozzles (6,7,8,9) is structured so that at least the channel cross section of a portion facing the heater (5) is larger than those of the other portions.
An apparatus and method of manufacturing a crystal grower is disclosed. The crystal growing apparatus includes a receptacle constructed to receive a material selected to grow a crystal and an induction heater constructed to heat the material, with the induction heater comprising a Litz coil and a hose constructed to receive the Litz coil therein. The hose further comprises an inner liner formed of an electrically non-conductive material, a reinforcement layer surrounding the inner liner to provide structural reinforcement thereto, and an outer liner applied about the reinforcement layer to form an exterior of the hose.
This method relates to making a joint compound that includes predispersing a dedusting agent in water to make a predispersed dedusting agent. Dry components, including at least one filler, are combined to make a dry mixture. Process water is pumped into a vessel and the predispersed dedusting agent is introduced to the vessel. The dry mixture is then added to the vessel and all components are blended to make a homogeneous product.
Provided herein are immobilized liquid membranes for gas separation, methods of preparing such membranes and uses thereof. In one example, the immobilized membrane includes a porous metallic host matrix and an immobilized liquid fluid (such as a silicone oil) that is immobilized within one or more pores included within the porous metallic host matrix. The immobilized liquid membrane is capable of selective permeation of one type of molecule (such as oxygen) over another type of molecule (such as water). In some examples, the selective membrane is incorporated into a device to supply oxygen from ambient air to the device for electrochemical reactions, and at the same time, to block water penetration and electrolyte loss from the device.
A method of removing acid gases from raw gas is disclosed in which the raw gas is supplied to an absorption column where it is contacted with a physical absorption agent, having a boiling point lower than 100° C. at atmospheric pressure, under elevated operating pressure to load, the physical absorption agent with acid gases and usable gases and then the physical absorption agent loaded with acid gases and usable gases is driven from the absorption column at its sump while drawing off at the head of the absorption column a purified top gas containing up to a few ppm of acid-gas components. Following the absorption, the physical absorption agent undergoes stripping to remove usable gases, and regeneration to remove the acid gases as well as to provide a regenerated physical absorbent which may be used to treat additional raw gas.
A process for removing phosphorus from solid poultry or animal wastes involving (a) mixing solid poultry or animal wastes with water and acid at a pH lower that about 5.0 and higher than about 3.0 to form (i) a liquid extract that contains suspended solids of about 3.5 g/L and soluble phosphorus and (ii) a washed solid residue (having a N:P ratio of at least more than 4 expressed on an elemental basis), (b) separating the liquid extract from the washed solid residue to form separated liquid extract and separated washed solid residue, (c) mixing the separated liquid extract with an alkaline earth base to a pH of about 8.0 to about 11.0, (d) mixing the liquid extract with a flocculant to form (i) precipitated phosphorus solids with P2O5 content greater than about 10% and (ii) a liquid, and (e) separating the precipitated phosphorus solids from the liquid to form separated phosphorus solids and separated liquid. The process is conducted at a temperature greater than about 5° C. and less than about 50° C. The solid poultry or animal wastes are not pretreated prior to mixing the solid poultry or animal wastes with water and acid; such pretreatments include those that may cause the complete or partial loss of oxidizable organic carbon and nitrogen.
The invention provides a method by which high moisture low rank coal or other carbonaceous material may be transformed into a high-energy, water resistant product. The raw feed is comminuted then mechanically compacted by a roller press with rolls of a specified profile to mobilize the inherent moisture and collapse most of the interstitial pore space. The mobilized moisture is then removed by low-temperature drying and the material is sized and shaped to form a product that is resistant to re-absorption of water or other liquids. The present invention will promote the use of low rank coal for liquefaction and gasification.
Cells (1) are first manufactured (S01). Each cell (1) is inspected (S02) and then adjusted to SOC 40% (S03). Thereafter, the cells (1) are assembled to form a cell stack (90) (S04). In the cell stack (90), the cells (1) constituting the cell stack (90) are collectively discharged so that their SOC becomes 30% (S05). This collective discharge is performed to collectively discharge the cells (1) in the cell stack (90). Thus, the same discharging conditions (equipment, time, environment, etc.) are established for the cells (1).
A process for making a valve metal material useful for forming electrolytic devices comprising the steps of: establishing multiple tantalum or niobium components in a billet of a ductile material; working the billet to a series of reduction steps to form said tantalum or niobium components into elongated elements; cutting the resulting elongated elements and leaching the ductile metal from the elements; washing and mixing the cut elements; and forming the cut elements into a sheet. The resulting sheet may be formed into anodes and cathodes and assembled to form a wet electrolytic capacitor.
A small diameter delivery device capable of delivering a tissue loaded scaffold arthroscopically to a tissue defect or injury site without reducing the pressure at the injury site is provided. The scaffold delivery device of the present invention comprises a plunger system that includes two main components: an insertion tube and an insertion rod. The insertion tube has a flared proximal end for holding a tissue scaffold prior to delivery. An elongate, hollow body extends from the flared proximal end to a distal end of the insertion tube, and defines a passageway that extends through the body for delivery of the tissue scaffold. The insertion rod has an elongate body that extends into a handle at a proximal end and a tip at a distal end. The insertion rod is configured to be removably disposed within the insertion tube for sliding along the passageway to effect delivery of the tissue scaffold through the insertion tube.
A luminal valve for placing in a body lumen comprises at least four valve leaflets. The valve has a normally closed configuration in which the leaflets are engaged and an open configuration in which the leaflets are open. The valve may be mounted to an esophageal support.
A flexible chain implant for insertion into an interior volume of a vertebral body. The implant may be implanted in an insertion position for sliding through a cannula and is flexible for packing into the interior volume in an implanted configuration. The implant randomly separates in the implanted configuration. The implant includes a top member and a bottom member, wherein the top and bottom members are coupled to one another at a coupled portion. The top and bottom members preferably each include an inner surface such that the inner surfaces include a plurality of alternating projections and recesses so that the projections are received within the recesses in an insertion position. Alternatively, the implant may include a plurality of substantially non-flexible bodies and a plurality of substantially flexible links interconnecting the bodies. The non-flexible bodies include a plurality of facets and/or abutment surfaces.
A lumbar disc prosthesis is provided including a first member with a vertebral disc contact surface and a recessed portion on an opposing surface thereof; a second member with a vertebral disc contact surface and a protruding portion on an opposing surface thereof. The protruding portion of the second member engages with the recessed portion of the first member in use. A facet joint prosthesis is provided, including a first member for attachment to a first posterior lumbar disc in use and a second member for attachment to a second posterior lumbar disc in use, where at least a part of the first member is telescopically mounted in at least a part of the second member in use.
An interbody spinal fusion implant adapted for placement at least in part across an intervertebral space formed across a disc space between two adjacent vertebral bodies and for penetrating engagement into each of those vertebral bodies, the implant having a trailing end adapted to sit upon and not protrude from the anterolateral peripheral rim of bone of the vertebral body.
A stented valve including a generally tubular stent structure that has a longitudinal axis, first and second opposite ends, a plurality of commissure support structures spaced from the first and second ends and extending generally parallel to the longitudinal axis, at least one structural wire positioned between each two adjacent commissure support structures, and at least one wing portion extending from two adjacent commissure support structures and toward one of the first and second ends of the stent structure. The stented valve further includes a valve structure attached within the generally tubular stent structure to the commissure support structures.
An artificial mitral valve is anchored in the left atrium by placing the valve between the annulus of the natural mitral valve and an artificial annulus. The artificial annulus is formed by inserting a tool into the coronary sinus, and adjusting the tool to force the wall of the left atrium to form an annulus above the artificial valve, this locking it in place and forming a hemostatic seal.
A stepped balloon catheter prosthesis deployment system is disclosed for placement of a prosthesis across an Os opening from a main body lumen to a branch body lumen. The prosthesis comprises a radially expansible support at one end, a circumferentially extending link at the other end and at least one frond extending axially therebetween. The prosthesis is configured to be deployed from a stepped diameter balloon with the support in the branch body lumen, with the circumferentially extending link in the main lumen and the frond extendable across the Os.