METHOD FOR OPTIMIZING AN UNROUTED DESIGN TO REDUCE THE PROBABILITY OF TIMING PROBLEMS DUE TO COUPLING AND LONG WIRE ROUTES
    91.
    发明申请
    METHOD FOR OPTIMIZING AN UNROUTED DESIGN TO REDUCE THE PROBABILITY OF TIMING PROBLEMS DUE TO COUPLING AND LONG WIRE ROUTES 有权
    优化设计的方法,以减少因耦合和长途径导致的时序问题的可行性

    公开(公告)号:US20090132982A1

    公开(公告)日:2009-05-21

    申请号:US11942990

    申请日:2007-11-20

    IPC分类号: G06F17/50

    CPC分类号: G06F17/5031 G06F17/5045

    摘要: A method and a system is described to predict effects of coupling on timing by estimating the delta delay and delta slack that can occur due to coupling on any net, for optimization to minimize the sensitivity of slack to potential coupling violations. The invention protects against other unexpected increases in effective load capacitance, such as those due to unexpectedly long wire routes. It also estimates the delay impact of a single ‘fault’ or ‘event’, such as a coupling event or unexpectedly long wires routes, including the impact of slew propagation.

    摘要翻译: 描述了一种方法和系统,以通过估计由于任何网络上的耦合而可能发生的增量延迟和增量松弛来预测耦合对定时的影响,以便优化以使松弛对潜在耦合违规的灵敏度最小化。 本发明可防止有效负载电容的其他意外增加,例如由于意外长的电线路由引起的负载电容。 它还估计单个“故障”或“事件”的延迟影响,例如耦合事件或意外长电线路由,包括转换传播的影响。

    STATIC TIMING SLACKS ANALYSIS AND MODIFICATION
    92.
    发明申请
    STATIC TIMING SLACKS ANALYSIS AND MODIFICATION 有权
    静态时序分析与修改

    公开(公告)号:US20080270962A1

    公开(公告)日:2008-10-30

    申请号:US12138871

    申请日:2008-06-13

    IPC分类号: G06F17/50

    CPC分类号: G06F17/5031

    摘要: A method, system and computer program product for analyzing and modifying a static timing slack of a timing path in a static timing analysis of a design of an integrated circuit (IC) with a transient power supply are disclosed. A static timing slack analysis is performed at a selected endpoint in an IC to obtain a candidate timing path leading to the endpoint with a worst static timing slack. A transient static timing slack is determined for the candidate timing path for each clock cycle of a clock signal under the transient power supply. The determined transient static timing slack is used to adjust the timing of the IC and to modify the static timing slack of the candidate timing path.

    摘要翻译: 公开了一种用于在具有瞬态电源的集成电路(IC)的设计的静态时序分析中分析和修改定时路径的静态定时松弛的方法,系统和计算机程序产品。 在IC中的选定端点处执行静态时序松弛分析,以获得以最差的静态时序松弛通向端点的候选定时路径。 瞬态电源下的时钟信号的每个时钟周期的候选定时路径确定瞬态静态时序松弛。 使用确定的瞬态静态时序松弛来调整IC的定时并修改候选定时路径的静态时序松弛。

    Method for fast incremental calculation of an impact of coupled noise on timing
    93.
    发明授权
    Method for fast incremental calculation of an impact of coupled noise on timing 有权
    耦合噪声对定时影响的快速增量计算方法

    公开(公告)号:US07398491B2

    公开(公告)日:2008-07-08

    申请号:US11420529

    申请日:2006-05-26

    IPC分类号: G06F17/50

    CPC分类号: G06F17/5031 G06F17/5036

    摘要: A method for incrementally calculating the impact of coupling noise on the timing of an integrated circuit (IC) having a plurality of logic stages by performing an initial timing analysis on the IC to provide a first determination of the impact of coupling noise on the timing. One or more design changes to the IC are then performed. In response to the design change, the impact of the coupling noise to the timing is calculated on the logic stage where the change was made and on the logic stages downstream thereof. The results of the calculations are then inputted to a timing analysis tool to adjust the delay and slew of each logic stage where the design change was made and to the logic stages downstream thereof.

    摘要翻译: 一种用于通过对IC执行初始定时分析来递增地计算耦合噪声对具有多个逻辑级的集成电路(IC)的定时的影响的方法,以提供耦合噪声对定时的影响的第一确定。 然后执行对IC的一个或多个设计更改。 响应于设计变化,耦合噪声对定时的影响是在进行改变的逻辑阶段和下游的逻辑级上计算的。 然后将计算结果输入到定时分析工具,以调整进行设计更改的每个逻辑级的延迟和转换以及其下游的逻辑级。

    Method of identifying paths with delays dominated by a particular factor
    94.
    发明授权
    Method of identifying paths with delays dominated by a particular factor 有权
    识别具有由特定因素主导的延迟的路径的方法

    公开(公告)号:US07353477B2

    公开(公告)日:2008-04-01

    申请号:US10709327

    申请日:2004-04-28

    IPC分类号: G06F17/50

    摘要: A method of performing node-based static timing analysis on a digital network and a program storage device for implementing the method, wherein the method comprises partitioning timing delays in the digital network into portions attributable to a factor of interest and portions attributable to other factors; multiplying the timing delays by different weights based on the factor of interest to produce weighted timing delays; and using the multiplied timing delays to determine a relative impact of the factor of interest on the various paths in the digital network. The method further comprises setting arrival times of timing signals at digital network path start points to zero and identifying digital network paths whose timing delays are dominated by a particular factor of interest. The different weights comprise any of a positive weight, a negative weight, and a zero weight.

    摘要翻译: 一种在数字网络上执行基于节点的静态时序分析的方法和用于实现该方法的程序存储设备,其中该方法包括将数字网络中的定时延迟分成可归因于其他因素的关注因素和部分; 基于感兴趣的因素将定时延迟乘以不同的权重以产生加权定时延迟; 并且使用相乘的定时延迟来确定感兴趣因素对数字网络中各种路径的相对影响。 该方法还包括将数字网络路径起点处的定时信号的到达时间设置为零,并且识别其定时延迟由特定感兴趣的因素支配的数字网络路径。 不同的重量包括正重量,负重量和零重量中的任何一种。

    Wiring optimizations for power
    95.
    发明授权
    Wiring optimizations for power 有权
    电力接线优化

    公开(公告)号:US07346875B2

    公开(公告)日:2008-03-18

    申请号:US11176712

    申请日:2005-07-07

    IPC分类号: G06F17/50

    摘要: An electrical wiring structure and method of designing thereof. The method identifies at least one wire pair having a first wire and a second wire. The second wire is already tri-stated or can be tri-stated. The wire pair may have a same-direction switching probability per clock cycle that is no less than a predetermined or user-selected minimum same-direction switching probability. Alternatively, the wire pair may have an opposite-direction switching probability per clock cycle that is no less than a predetermined or user-selected minimum opposite-direction switching probability. The first wire and the second wire satisfy at least one mathematical relationship involving: a spacing between the first wire and the second wire; and a common run length of the first wire and the second wire.

    摘要翻译: 电气布线结构及其设计方法。 该方法识别具有第一线和第二线的至少一个线对。 第二根线已经是三态的,也可以是三态的。 线对可以具有不小于预定或用户选择的最小相同方向切换概率的每时钟周期的相同方向的切换概率。 或者,线对可以具有不小于预定或用户选择的最小相反方向切换概率的每时钟周期的相反方向切换概率。 第一线和第二线满足至少一个数学关系,涉及:第一线和第二线之间的间隔; 以及第一线和第二线的公共行程长度。

    Hybrid linear wire model approach to tuning transistor widths of circuits with RC interconnect
    96.
    发明授权
    Hybrid linear wire model approach to tuning transistor widths of circuits with RC interconnect 有权
    混合线性线模型方法来调整具有RC互连的电路的晶体管宽度

    公开(公告)号:US07325210B2

    公开(公告)日:2008-01-29

    申请号:US11077043

    申请日:2005-03-10

    IPC分类号: G06F17/50

    摘要: A hybrid linear wire model for tuning the transistor widths of circuits linked by RC interconnects is described. The method uses two embedded simulators during the tuning process on netlists that contain resistors (Rs). A Timing oriented simulator is used only for timing purposes on the original netlist that includes all the Rs. A Gradient oriented simulator is then run only on the modified netlist with all Rs shorted and within the iterative loop of the tuner to compute gradients. The present hybrid method achieves a significant improvement in computational speed. The Timing oriented simulator is fast and accurate for only timing netlists with Rs, but cannot compute gradients efficiently. The Gradient oriented simulator computes gradients efficiently but cannot do so in the presence of Rs. To prevent “de-tuning” that typically occurs when all Rs are shorted, ‘wire-adjusts’ are provided that make the initial timing results using the Gradient oriented simulator on the shorted netlist match the timing results using Timing oriented simulator on the original netlist. This permits the optimizer sense initially the correct set of critical timing paths, and more significantly, it permits the wire-adjusts keep track of the changing transistor widths to guide the optimizer during the iterations until convergence is achieved.

    摘要翻译: 描述了用于调谐由RC互连链接的电路的晶体管宽度的混合线性线模型。 在调谐过程中,该方法使用两个嵌入式模拟器,其中包含电阻(Rs)。 面向计时的模拟器仅用于包含所有Rs的原始网表的时序目的。 然后,一个面向梯度的模拟器仅在修改后的网表上运行,所有的Rs都已经短路,并在调谐器的迭代循环内计算梯度。 目前的混合方法实现了计算速度的显着提高。 面向时序的模拟器只需要具有Rs的时间网络列表即可快速准确,但无法有效地计算渐变。 梯度导向模拟器有效地计算梯度,但在Rs的存在下不能这样做。 为了防止所有Rs短路时通常发生的“去调谐”,提供“线调整”,使得在短路网表上使用面向梯度的模拟器的初始定时结果与使用定时模型的原始网表上的定时结果相匹配 。 这允许优化器最初感测正确的关键定时路径集合,并且更重要的是,它允许线路调整跟踪改变的晶体管宽度,以在迭代期间引导优化器直到实现收敛。

    Parameter variation tolerant method for circuit design optimization
    99.
    发明授权
    Parameter variation tolerant method for circuit design optimization 有权
    电路设计优化的参数变化容限方法

    公开(公告)号:US06826733B2

    公开(公告)日:2004-11-30

    申请号:US10159921

    申请日:2002-05-30

    IPC分类号: G06F1750

    CPC分类号: G06F17/505

    摘要: A method for optimizing the design of a chip or system by decreasing the cost function that encompasses a plurality of constraints in the presence of variations in the design parameters is described. The method makes use of numerical optimization, simulated annealing, or any other objective-driven optimization means, and accounts for uncertainties in the modeling of the design variables and functions. A significant reduction in the number of design constraints which are violated at the end of an optimization process is achieved, even when all the design constraints cannot be satisfied. The optimization also reduces the cycle time at which the design operates and limits the increase in the minimum operational cycle time of a particular implementation in the presence of variations that cannot be modeled or unpredictable variations in delay introduced by elements of the design. The method for optimizing the design includes the steps of: defining an objective function computed from variables and functions of the design of the chip or system; deriving a merit function from the objective function by adding to it a plurality of separation terms; and minimizing the merit function which reduces the expected value of the objective function when confronted with significant variations of the design variables and functions.

    摘要翻译: 描述了通过在存在设计参数的变化的情况下降低包含多个约束的成本函数来优化芯片或系统的设计的方法。 该方法利用数值优化,模拟退火或任何其他目标驱动的优化手段,并考虑了设计变量和功能建模中的不确定性。 即使在不能满足所有设计限制的情况下,也可以在优化过程结束时,大大减少设计限制的数量。 该优化还减少了设计操作的周期时间,并且在存在不能被设计的元件引入的延迟不可模拟或不可预测的变化的变化的情况下限制特定实现的最小操作周期时间的增加。 用于优化设计的方法包括以下步骤:定义从芯片或系统的设计的变量和功能计算的目标函数; 通过向目标函数中加入多个分离项,从而得出优点函数; 并且在面对设计变量和功能的显着变化时,最小化功能降低了目标函数的期望值。

    Concurrent logical and physical construction of voltage islands for mixed supply voltage designs
    100.
    发明授权
    Concurrent logical and physical construction of voltage islands for mixed supply voltage designs 失效
    用于混合电源电压设计的并联电压岛的逻辑和物理构造

    公开(公告)号:US06792582B1

    公开(公告)日:2004-09-14

    申请号:US09713829

    申请日:2000-11-15

    IPC分类号: G06F1750

    CPC分类号: G06F17/5045 G06F17/5068

    摘要: Both logical and physical construction of voltage islands is disclosed. A semiconductor chip design is partitioned into “bins”, which are areas of the design. In this way, a semiconductor chip design may be “sliced” into various areas and the areas may then be assigned to various voltage levels. Each bin may be thought of as a voltage island. Circuits in the design can be added to or removed from the various bins, thereby increasing or decreasing the speed and power of the circuits: the speed and power increase if a circuit is placed into a bin assigned a higher voltage, and the speed and power decrease if a circuit is placed into a bin having a lower voltage. The size and location of the bins may also be changed. By iterating these steps, the optimum power consumption may be met while still meeting speed constraints and other criteria. The present invention is applicable to any placement environment, such as an annealing placement tool, that proceeds through successive refinement of the locations of the circuits on the design and in which the placement process may be interrupted to make changes in placement of the logic.

    摘要翻译: 公开了电压岛的逻辑和物理结构。 半导体芯片设计被划分为“箱”,这是设计的区域。 以这种方式,可以将半导体芯片设计“切片”成各种区域,然后将这些区域分配给各种电压电平。 每个仓可以被认为是电压岛。 设计中的电路可以添加到各个机箱中或从各个机箱中移除,从而增加或减少电路的速度和功率:如果将电路放入分配较高电压的箱体中,速度和功率会增加,速度和功率 如果将电路放置在具有较低电压的箱中,则减小。 还可以改变箱子的大小和位置。 通过迭代这些步骤,可以在满足速度限制和其他标准的同时满足最佳功耗。 本发明可应用于诸如退火放置工具的任何放置环境,其通过连续细化设计上的电路的位置并且其中可以中断放置过程以使逻辑的放置变化。