INTERCONNECT STRUCTURE AND METHOD OF FABRICATION OF SAME
    42.
    发明申请
    INTERCONNECT STRUCTURE AND METHOD OF FABRICATION OF SAME 有权
    互连结构及其制造方法

    公开(公告)号:US20080006944A1

    公开(公告)日:2008-01-10

    申请号:US11860590

    申请日:2007-09-25

    IPC分类号: H01L23/52

    摘要: A damascene wire and method of forming the wire. The method including: forming a mask layer on a top surface of a dielectric layer; forming an opening in the mask layer; forming a trench in the dielectric layer where the dielectric layer is not protected by the mask layer; recessing the sidewalls of the trench under the mask layer; forming a conformal conductive liner on all exposed surface of the trench and the mask layer; filling the trench with a core electrical conductor; removing portions of the conductive liner extending above the top surface of the dielectric layer and removing the mask layer; and forming a conductive cap on a top surface of the core conductor. The structure includes a core conductor clad in a conductive liner and a conductive capping layer in contact with the top surface of the core conductor that is not covered by the conductive liner.

    摘要翻译: 一种镶嵌线及其形成方法。 该方法包括:在电介质层的顶表面上形成掩模层; 在掩模层中形成开口; 在电介质层中形成沟槽,其中电介质层不被掩模层保护; 使掩模层下方的沟槽的侧壁凹陷; 在沟槽和掩模层的所有暴露表面上形成共形导电衬垫; 用芯电导体填充沟槽; 去除在电介质层的顶表面上方延伸的导电衬垫的部分,并去除掩模层; 以及在所述芯导体的顶表面上形成导电帽。 该结构包括包覆在导电衬垫中的芯导体和与未被导电衬垫覆盖的芯导体的顶表面接触的导电覆盖层。

    Post-etch removal of fluorocarbon-based residues from a hybrid dielectric structure
    43.
    发明申请
    Post-etch removal of fluorocarbon-based residues from a hybrid dielectric structure 审中-公开
    从混合电介质结构中去除基于碳氟化合物的残留物

    公开(公告)号:US20070059922A1

    公开(公告)日:2007-03-15

    申请号:US11162511

    申请日:2005-09-13

    IPC分类号: H01L21/4763

    摘要: The present invention relates to methods for post-etch, particularly post-RIE, removal of fluorocarbon-based residues from a hybrid dielectric structure. The hybrid dielectric structure contains a first dielectric material, and a line-level dielectric layer containing a second, different dielectric material, and wherein said second, different dielectric material comprises a polymeric thermoset dielectric material having a dielectric constant less than 4. Low energy electron beam or low temperature annealing is utilized by the present invention for removal of the fluorocarbon-based residues from such a hybrid dielectric structure, without damaging the low-k polymeric thermoset dielectric material contained in such a hybrid dielectric structure.

    摘要翻译: 本发明涉及用于从混合电介质结构去除基于碳氟化合物的残留物的后蚀刻,特别是后RIE的方法。 混合电介质结构包含第一电介质材料和含有第二不同介电材料的线路级介电层,并且其中所述第二不同介电材料包含介电常数小于4的聚合物热固性介电材料。低能电子 本发明使用光束或低温退火来从这种混合电介质结构中除去基于碳氟化合物的残留物,而不会损坏这种混合电介质结构中所含的低k聚合物热固性介电材料。