Method of manufacturing strained dislocation-free channels for CMOS
    62.
    发明授权
    Method of manufacturing strained dislocation-free channels for CMOS 有权
    制造用于CMOS的应变无位错通道的方法

    公开(公告)号:US07037770B2

    公开(公告)日:2006-05-02

    申请号:US10687608

    申请日:2003-10-20

    IPC分类号: H01L21/00

    摘要: A semiconductor device and method of manufacturing a semiconductor device. The semiconductor device includes channels for a pFET and an nFET. An SiGe layer is grown in the channel of the nFET channel and a Si:C layer is grown in the pFET channel. The SiGe and Si:C layer match a lattice network of the underlying Si layer to create a stress component in an overlying grown epitaxial layer. In one implementation, this causes a compressive component in the pFET channel and a tensile component in the nFET channel. In a further implementation, the SiGe layer is grown in both the nFET and pFET channels. In this implementation, the stress level in the pFET channel should be greater than approximately 3 GPa.

    摘要翻译: 半导体器件及半导体器件的制造方法。 半导体器件包括用于pFET和nFET的沟道。 在nFET沟道的沟道中生长SiGe层,并且在pFET沟道中生长Si:C层。 SiGe和Si:C层与下层Si层的晶格网络匹配,以在覆盖的生长的外延层中产生应力分量。 在一个实现中,这导致pFET沟道中的压缩分量和nFET沟道中的拉伸分量。 在另一实施方案中,SiGe层在nFET和pFET沟道中生长。 在这种实现中,pFET通道中的应力水平应该大于3GPa。

    CREATING INCREASED MOBILITY IN A BIPOLAR DEVICE
    63.
    发明申请
    CREATING INCREASED MOBILITY IN A BIPOLAR DEVICE 失效
    在双极设备中创建增加的移动性

    公开(公告)号:US20060019458A1

    公开(公告)日:2006-01-26

    申请号:US10710548

    申请日:2004-07-20

    IPC分类号: H01L21/331 H01L21/8222

    摘要: The mobility of charge carriers in a bipolar (BJT) device is increased by creating compressive strain in the device to increase mobility of electrons in the device, and creating tensile strain in the device to increase mobility of holes in the device. The compressive and tensile strain are created by applying a stress film adjacent an emitter structure of the device and atop a base film of the device. In this manner, the compressive and tensile strain are located in close proximity to an intrinsic portion of the device. A suitable material for the stress film is nitride. The emitter structure may be “T-shaped”, having a lateral portion atop an upright portion, a bottom of the upright portion forms a contact to the base film, and the lateral portion overhangs the base film.

    摘要翻译: 双极(BJT)器件中的载流子的迁移率通过在器件中产生压缩应变以增加器件中电子的迁移率而增加,并且在器件中产生拉伸应变以增加器件中的孔的移动性。 通过在装置的发射极结构附近施加应力膜并且在器件的基底上方施加应力膜来产生压缩和拉伸应变。 以这种方式,压缩和拉伸应变位于设备本身部分附近。 适用于应力膜的材料是氮化物。 发射体结构可以是“T形”,其具有在直立部分顶部的侧面部分,直立部分的底部形成与基底膜的接触,并且侧向部分悬垂在基底膜上。

    Strained Si on multiple materials for bulk or SOI substrates
    64.
    发明申请
    Strained Si on multiple materials for bulk or SOI substrates 有权
    应变Si在多种材料上用于体或SOI衬底

    公开(公告)号:US20050269561A1

    公开(公告)日:2005-12-08

    申请号:US10859736

    申请日:2004-06-03

    摘要: The present invention provides a strained-Si structure, in which the nFET regions of the structure are strained in tension and the pFET regions of the structure are strained in compression. Broadly the strained-Si structure comprises a substrate, a first layered stack atop the substrate, the first layered stack comprising a first Si-containing portion of the substrate, a compressive layer atop the Si-containing portion of the substrate, and a semiconducting silicon layer atop the compressive layer; and a second layered stack atop the substrate, the second layered stack comprising a second-silicon containing layer portion of the substrate, a tensile layer atop the second Si-containing portion of the substrate, and a second semiconducting silicon-layer atop the tensile layer.

    摘要翻译: 本发明提供一种应变Si结构,其中该结构的nFET区域被拉紧并且该结构的pFET区域被压缩而变形。 广义上,应变Si结构包括衬底,在衬底顶部的第一层叠堆叠,第一层叠堆叠包括衬底的第一含Si部分,衬底的含Si部分顶部的压缩层和半导体硅 层在压缩层顶上; 以及在所述衬底顶部的第二层叠叠层,所述第二层叠堆叠包括所述衬底的第二硅含有层部分,在所述衬底的所述第二含Si部分顶部的拉伸层,以及在所述拉伸层顶部的第二半导体硅层 。

    Method of making strained channel CMOS transistors having lattice-mismatched epitaxial
    65.
    发明申请
    Method of making strained channel CMOS transistors having lattice-mismatched epitaxial 有权
    制造具有晶格失配外延的应变通道CMOS晶体管的方法

    公开(公告)号:US20050148133A1

    公开(公告)日:2005-07-07

    申请号:US11052675

    申请日:2005-02-07

    摘要: A method is provided in which an n-type field effect transistor (NFET) and a p-type field effect transistor (PFET) each have a channel region disposed in a first single-crystal semiconductor region having a first composition. A stress is applied at a first magnitude to a channel region of the PFET but not at that magnitude to the channel region of the NFET. The stress is applied by a single-crystal semiconductor layer having a second composition such that the single-crystal semiconductor layer is lattice-mismatched to the first region. The semiconductor layer is formed over the source and drain regions and optionally over the extension regions of the PFET at a first distance from the channel region of the PFET and is formed over the source and drain regions of the NFET at a second, greater distance from the channel region of the NFET, or the semiconductor layer having the second composition is not formed at all in the NFET.

    摘要翻译: 提供一种方法,其中n型场效应晶体管(NFET)和p型场效应晶体管(PFET)各自具有设置在具有第一组成的第一单晶半导体区域中的沟道区。 应力以第一幅度施加到PFET的沟道区,但不以该尺寸施加到NFET的沟道区。 应力由具有第二组成的单晶半导体层施加使得单晶半导体层与第一区域晶格失配。 半导体层形成在源极和漏极区域上,并且任选地在距离PFET的沟道区第一距离处的PFET的延伸区域上方形成,并且形成在NFET的源极和漏极区域之上,距离 NFET的沟道区域或具有第二组成的半导体层完全不形成在NFET中。

    Strained dislocation-free channels for CMOS and method of manufacture
    67.
    发明申请
    Strained dislocation-free channels for CMOS and method of manufacture 有权
    用于CMOS的应变无位错通道和制造方法

    公开(公告)号:US20050139930A1

    公开(公告)日:2005-06-30

    申请号:US11061445

    申请日:2005-02-22

    摘要: A semiconductor device and method of manufacturing a semiconductor device. The semiconductor device includes channels for a pFET and an nFET. An SiGe layer is grown in the channel of the nFET channel and a Si:C layer is grown in the pFET channel. The SiGe and Si:C layer match a lattice network of the underlying Si layer to create a stress component in an overlying grown epitaxial layer. In one implementation, this causes a compressive component in the pFET channel and a tensile component in the nFET channel. In a further implementation, the SiGe layer is grown in both the nFET and pFET channels. In this implementation, the stress level in the pFET channel should be greater than approximately 3 GPa.

    摘要翻译: 半导体器件及半导体器件的制造方法。 半导体器件包括用于pFET和nFET的沟道。 在nFET沟道的沟道中生长SiGe层,并且在pFET沟道中生长Si:C层。 SiGe和Si:C层与下层Si层的晶格网络匹配,以在覆盖的生长的外延层中产生应力分量。 在一个实现中,这导致pFET沟道中的压缩分量和nFET沟道中的拉伸分量。 在另一实施方案中,SiGe层在nFET和pFET沟道中生长。 在这种实现中,pFET通道中的应力水平应该大于3GPa。

    Strained silicon on relaxed sige film with uniform misfit dislocation density
    69.
    发明授权
    Strained silicon on relaxed sige film with uniform misfit dislocation density 有权
    应变硅在轻松的超薄膜上具有均匀的失配位错密度

    公开(公告)号:US06872641B1

    公开(公告)日:2005-03-29

    申请号:US10667603

    申请日:2003-09-23

    摘要: A method for forming a semiconductor substrate structure is provided. A compressively strained SiGe layer is formed on a silicon substrate. Atoms are ion-implanted onto the SiGe layer to cause end-of-range damage. Annealing is performed to relax the strained layer. During the annealing, interstitial dislocation loops are formed as uniformly tributed in the SiGe layer. The interstitial dislocation loops provide a basis for nucleation of misfit dislocations between the SiGe layer and the silicon substrate. Since the interstitial dislocation loops are distributed uniformly, the misfit locations are also distributed uniformly, thereby relaxing the SiGe layer. A tensilely strained silicon layer is formed on the relaxed SiGe layer.

    摘要翻译: 提供一种形成半导体衬底结构的方法。 在硅衬底上形成压缩应变SiGe层。 原子被离子注入SiGe层以造成范围内的损伤。 进行退火以松弛应变层。 在退火过程中,间隙位错环形成均匀分布在SiGe层中。 间隙位错环为SiGe层和硅衬底之间的失配位错的成核提供了基础。 由于间隙位错环分布均匀,因此错位位置也均匀分布,从而松弛SiGe层。 在松弛的SiGe层上形成拉伸应变硅层。

    STRAINED SILICON ON RELAXED SIGE FILM WITH UNIFORM MISFIT DISLOCATION DENSITY
    70.
    发明申请
    STRAINED SILICON ON RELAXED SIGE FILM WITH UNIFORM MISFIT DISLOCATION DENSITY 有权
    松散信号膜上的应变硅,具有均匀杂散偏差密度

    公开(公告)号:US20050064686A1

    公开(公告)日:2005-03-24

    申请号:US10667603

    申请日:2003-09-23

    摘要: A method for forming a semiconductor substrate structure is provided. A compressively strained SiGe layer is formed on a silicon substrate. Atoms are ion-implanted onto the SiGe layer to cause end-of-range damage. Annealing is performed to relax the strained SiGe layer. During the annealing, interstitial dislocation loops are formed as uniformly distributed in the SiGe layer. The interstitial dislocation loops provide a basis for nucleation of misfit dislocations between the SiGe layer and the silicon substrate. Since the interstitial dislocation loops are distributed uniformly, the misfit locations are also distributed uniformly, thereby relaxing the SiGe layer. A tensilely strained silicon layer is formed on the relaxed SiGe layer.

    摘要翻译: 提供一种形成半导体衬底结构的方法。 在硅衬底上形成压缩应变SiGe层。 原子被离子注入SiGe层以造成范围内的损伤。 进行退火以松弛应变的SiGe层。 在退火过程中,间隙位错环形成均匀分布在SiGe层中。 间隙位错环为SiGe层和硅衬底之间的失配位错的成核提供了基础。 由于间隙位错环分布均匀,因此错位位置也均匀分布,从而松弛SiGe层。 在松弛的SiGe层上形成拉伸应变硅层。