Extreme ultraviolet light generation system and electronic device manufacturing method

    公开(公告)号:US11553583B2

    公开(公告)日:2023-01-10

    申请号:US17468395

    申请日:2021-09-07

    申请人: Gigaphoton Inc.

    IPC分类号: G03F7/20 H05G2/00 G21K1/06

    摘要: An extreme ultraviolet light generation system may include a laser device configured to emit pulse laser light, an EUV light concentrating mirror configured to reflect and concentrate extreme ultraviolet light generated by irradiating a target with the pulse laser light, and a processor configured to receive a first energy parameter of the extreme ultraviolet light and control an irradiation frequency of the pulse laser light with which the target is irradiated so that change in a second energy parameter related to energy per unit time of the extreme ultraviolet light reflected by the EUV light concentrating mirror is suppressed.

    Extreme ultraviolet light generation system, laser beam size controlling method, and electronic device manufacturing method

    公开(公告)号:US10908429B2

    公开(公告)日:2021-02-02

    申请号:US16853585

    申请日:2020-04-20

    申请人: Gigaphoton Inc.

    摘要: An extreme ultraviolet light generation system according to one aspect of the present disclosure includes: a pulse laser apparatus configured to output a pulse laser beam, the pulse laser beam being supplied to a predetermined region in a chamber in which plasma containing extreme ultraviolet light is to be generated; a sensor configured to detect a beam size of the pulse laser beam; an actuator configured to change the beam size; and a controller. The controller performs, based on a first algorithm, first control that controls the actuator by a first control amount in a beam size minifying direction when the beam size has exceeded a first upper limit threshold in one burst duration, and then performs, based on a second algorithm, second control that controls the actuator by a second control amount smaller than the first control amount so that the beam size becomes closer to a target value.

    Extreme ultraviolet light generation device

    公开(公告)号:US10531550B2

    公开(公告)日:2020-01-07

    申请号:US15888109

    申请日:2018-02-05

    申请人: Gigaphoton Inc.

    发明人: Takayuki Yabu

    IPC分类号: H05G2/00 G03F7/20

    摘要: An extreme ultraviolet light generation device may include: a chamber in which extreme ultraviolet light is generated from plasma generated by irradiating a target with laser light output by a laser device, in a generation region therein; a target supply unit configured to output the target as a droplet toward the generation region; a droplet detector configured to detect the droplet in a detection region; and a controller. The droplet detector may transmit, to the controller, a pass timing signal indicating a timing when the droplet passes through the detection region. The controller may include a noise compensation unit configured to compensate for noise of the pass timing signal caused by an electromagnetic wave from the plasma, and transmit a trigger signal that gives a trigger to output the laser light to the laser device based on the pass timing signal in which the noise is compensated for.

    Control method for target supply device, and target supply device
    4.
    发明授权
    Control method for target supply device, and target supply device 有权
    目标供应装置和目标供应装置的控制方法

    公开(公告)号:US08841639B2

    公开(公告)日:2014-09-23

    申请号:US14024306

    申请日:2013-09-11

    申请人: Gigaphoton Inc.

    CPC分类号: H05G2/006 H05G2/005

    摘要: A control method for a target supply device may employ a target supply device, provided in an EUV light generation apparatus including an image sensor, that includes a target generator having a nozzle and configured to hold a target material and a pressure control unit configured to control a pressure within the target generator, and the method may include outputting the target material in the target generator from a nozzle hole in the nozzle by pressurizing the interior of the target generator using the pressure control unit, determining whether or not a difference between an output direction of the target material outputted from the nozzle hole that is detected by the image sensor and a set direction is within a predetermined range, and holding the pressure in the target generator using the pressure control unit until the difference falls within the predetermined range.

    摘要翻译: 目标供给装置的控制方法可以采用在包括图像传感器的EUV光发生装置中设置的目标供给装置,所述图像传感器包括具有喷嘴的目标发生器,并且被配置为保持目标材料,压力控制单元被配置为控制 目标发电机内的压力,并且该方法可以包括通过使用压力控制单元对目标发电机的内部进行加压,从喷嘴中的喷嘴孔输出目标发电机中的目标材料,确定输出 从由图像传感器检测到的喷嘴孔输出的目标材料的方向和设定方向在预定范围内,并且使用压力控制单元保持在目标发生器中的压力,直到差落在预定范围内。

    Extreme ultraviolet light generating apparatus

    公开(公告)号:US10531551B2

    公开(公告)日:2020-01-07

    申请号:US15955031

    申请日:2018-04-17

    申请人: Gigaphoton Inc.

    IPC分类号: H05G2/00 H01S3/10

    摘要: The extreme ultraviolet light generating apparatus includes a target supply unit to output a target, a driver laser to output a driver laser beam with which the target is irradiated, a guide laser to output a guide laser beam, a beam combiner to have optical paths of the driver laser beam and the guide laser beam substantially coincide with each other and output these beams, a first optical element including a first actuator to adjust an optical path of the driver laser beam to be incident on the beam combiner, a second optical element including a second actuator to adjust an optical path of the guide laser beam to be incident on the beam combiner, a sensor to detect the guide laser beam outputted from the beam combiner to output detected data, and a controller to receive the detected data, control the second actuator based on the detected data, and control the first actuator based on an amount of controlling of the second actuator.

    Target image-capture device, extreme-ultraviolet-light generation device, and extreme-ultraviolet-light generation system

    公开(公告)号:US10141186B2

    公开(公告)日:2018-11-27

    申请号:US15671691

    申请日:2017-08-08

    申请人: Gigaphoton Inc.

    摘要: A target image-capture device may be configured to capture an image of a target that is made into plasma when irradiated with laser light and generates extreme-ultraviolet-light. The target image-capture device may include a droplet detector configured to detect passage of a droplet output as the target, and output a detection signal, an illumination light source, an image capturing element, a shutter device, and a controller configured to output, to the image capturing element, an exposure signal allowing the image capturing element to perform image capturing, and output, to the shutter device, a shutter open/close signal allowing a shutter to perform an open and close operation upon input of the detection signal. The controller may output the shutter open/close signal to the shutter device to make the shutter closed during when the droplet is irradiated with the laser light so that the plasma is generated.

    Extreme ultraviolet light generating system

    公开(公告)号:US10085334B2

    公开(公告)日:2018-09-25

    申请号:US15912628

    申请日:2018-03-06

    申请人: Gigaphoton Inc.

    发明人: Takayuki Yabu

    摘要: An extreme ultraviolet light generating system repetitively outputs extreme ultraviolet light emitted by a target that turns into plasma by being irradiated with a pulsed laser beam. The extreme ultraviolet light generating system may include: a target supply unit that sequentially supplies the target to a plasma generating region set within a chamber, an actuator connected to a laser beam focusing system that focuses the pulsed laser beam output from a laser apparatus that adjusts the focusing position of the pulsed laser beam, an extreme ultraviolet light generation controller that controls the extreme ultraviolet light generating system to output extreme ultraviolet light based on a burst pattern, and an actuator controller that controls the actuator to compensate for shifts of the focusing position of the pulsed laser beam during a burst operation by feedforward control.

    Extreme ultraviolet light generating apparatus and method for generating extreme ultraviolet light

    公开(公告)号:US10054861B2

    公开(公告)日:2018-08-21

    申请号:US15480190

    申请日:2017-04-05

    申请人: Gigaphoton Inc.

    发明人: Takayuki Yabu

    IPC分类号: G03B27/72 G03F7/20

    摘要: An extreme ultraviolet light generating apparatus may include: a chamber, in which extreme ultraviolet light is generated; a target supply unit that outputs a target into the chamber as droplets to supply the target to a plasma generating region; a stage that moves the target supply unit in a direction substantially perpendicular to the trajectory of droplets output from the target supply unit; a droplet detector provided between the target supply unit and the plasma generating region at an inclination of a predetermined angle with respect to a substantially vertical direction, that detects the droplets from a direction inclined at the predetermined angle; and a calculation control unit that controls the irradiation timings of the laser beam at which the laser beam is irradiated onto the droplets within the plasm generating region, by adding delay times to the timings at which the droplets are detected by the droplet detector.

    Extreme UV light generation apparatus and method

    公开(公告)号:US09949354B2

    公开(公告)日:2018-04-17

    申请号:US14879754

    申请日:2015-10-09

    申请人: Gigaphoton Inc.

    IPC分类号: H05G2/00

    摘要: An extreme ultraviolet light generation apparatus includes a target supplier configured to output a target into a chamber as a droplet, the target generating extreme ultraviolet light when being irradiated with a laser beam in the chamber; a droplet measurement unit configured to measure a parameter for a state of the droplet outputted into the chamber; a pressure regulator configured to regulate a pressure in the target supplier in which the target is accommodated; and a target generation controller configured to control the pressure regulator, based on the parameter measured by the droplet measurement unit.

    Target supply apparatus and target supply method
    10.
    发明授权
    Target supply apparatus and target supply method 有权
    目标供应设备和目标供应方式

    公开(公告)号:US09097434B2

    公开(公告)日:2015-08-04

    申请号:US13753324

    申请日:2013-01-29

    申请人: Gigaphoton Inc.

    摘要: A target supply apparatus used in an extreme ultraviolet light apparatus that generates extreme ultraviolet light by irradiating a target with a laser beam may include a tank, a nozzle that includes a through-hole and is disposed so that the through-hole communicates with the interior of the tank, a first heater disposed along a wall of the tank, a second heater disposed along a wall of the tank in a position that is further from the nozzle than the first heater, and a control unit configured to control the first heater and the second heater so that a temperature of the first heater is greater than a temperature of the second heater.

    摘要翻译: 在通过用激光束照射目标物而产生极紫外光的极紫外光装置中使用的目标供给装置可以包括:罐,包括通孔并且设置成使得通孔与内部连通的喷嘴 所述第一加热器沿着所述罐的壁布置,所述第二加热器沿着所述罐的壁布置在比所述第一加热器更远离所述喷嘴的位置,以及控制单元,其被配置为控制所述第一加热器和 所述第二加热器使得所述第一加热器的温度大于所述第二加热器的温度。