HIGH RESOLUTION, MULTI-ELECTRON BEAM APPARATUS

    公开(公告)号:US20230066086A1

    公开(公告)日:2023-03-02

    申请号:US17412242

    申请日:2021-08-25

    申请人: KLA Corporation

    发明人: Xinrong Jiang

    IPC分类号: H01J37/12 H01J37/14

    摘要: For an electron beam system, a Wien filter is in the path of the electron beam between a transfer lens and a stage. The system includes a ground electrode between the Wien filter and the stage, a charge control plate between the ground electrode and the stage, and an acceleration electrode between the ground electrode and the charge control plate. The system can be magnetic or electrostatic.

    APERTURE ASSEMBLY, BEAM MANIPULATOR UNIT, METHOD OF MANIPULATING CHARGED PARTICLE BEAMS, AND CHARGED PARTICLE PROJECTION APPARATUS

    公开(公告)号:US20230037583A1

    公开(公告)日:2023-02-09

    申请号:US17961247

    申请日:2022-10-06

    摘要: The disclosure relates to apparatus and methods for manipulating charged particle beams. In one arrangement, an aperture assembly is provided that comprises a first aperture body and a second aperture body. Apertures in the first aperture body are aligned with apertures in the second aperture body. The alignment allows charged particle beams to pass through the aperture assembly. The first aperture body comprises a first electrode system for applying an electrical potential to an aperture perimeter surface of each aperture in the first aperture body. The first electrode system comprises a plurality of electrodes. Each electrode is electrically isolated from each other electrode and electrically connected simultaneously to the aperture perimeter surfaces of a different one of a plurality of groups of the apertures in the first aperture body.

    Modulation of ion beam angle
    3.
    发明授权

    公开(公告)号:US11367589B2

    公开(公告)日:2022-06-21

    申请号:US16705159

    申请日:2019-12-05

    摘要: Embodiments described herein relate to methods and apparatus for forming gratings having a plurality of fins with different slant angles on a substrate and forming fins with different slant angles on successive substrates using angled etch systems and/or an optical device. The methods include positioning portions of substrates retained on a platen in a path of an ion beam. The substrates have a grating material disposed thereon. The ion beam is configured to contact the grating material at an ion beam angle ϑ relative to a surface normal of the substrates and form gratings in the grating material.

    Charged particle beam apparatus
    6.
    发明授权

    公开(公告)号:US11211224B2

    公开(公告)日:2021-12-28

    申请号:US17049353

    申请日:2018-04-26

    摘要: To improve detection efficiency of secondary particles without increasing a size of a charged particle beam apparatus, a charged particle beam apparatus according to the invention includes: a charged particle beam source configured to irradiate a sample with a primary particle beam; a scanning deflector configured to scan and deflect the primary particle beam to a desired position of the sample; and a detector configured to detect secondary particles emitted from the desired position. The charged particle beam apparatus further includes: a focusing lens electrode arranged coaxially with the primary particle beam and configured to generate a focusing electric field that is an electric field that focuses a trajectory of the secondary particles; and a mesh electrode configured to reduce leakage of the focusing electric field on a trajectory of the primary particle beam.

    Scanning Electron Microscope
    8.
    发明申请

    公开(公告)号:US20210272770A1

    公开(公告)日:2021-09-02

    申请号:US17255724

    申请日:2018-07-02

    摘要: Provided is a scanning electron microscope which can perform high-speed focus correction even when an electron beam having high energy is used. The scanning electron microscope includes an electron optical system including an electron source 100 that emits an electron beam and an objective lens 113, a sample stage 1025 which is disposed on a stage 115 and on which a sample 114 is placed, a backscattered electron detector 1023 which is disposed between the objective lens and the sample stage and is configured to detect backscattered electrons 1017 emitted due to interaction between the electron beam and the sample, a backscattered electron detection system control unit 138 which is provided corresponding to the backscattered electron detector and is configured to apply a voltage to the backscattered electron detector, and a device control calculation device 146. The objective lens has an opening in a stage direction, and the device control calculation device performs focus correction of the electron beam by controlling the voltage applied to the backscattered electron detector from the backscattered electron detection system control unit.

    FOCUSED ION BEAM APPARATUS, AND CONTROL METHOD FOR FOCUSED ION BEAM APPARATUS

    公开(公告)号:US20210118642A1

    公开(公告)日:2021-04-22

    申请号:US17072691

    申请日:2020-10-16

    摘要: The focused ion beam apparatus includes: an ion source configured to generate ions; a first electrostatic lens configured to accelerate and focus the ions to form an ion beam; a beam booster electrode configured to accelerate the ion beam to a higher level; one or a plurality of electrodes, which are placed in the beam booster electrode, and are configured to electrostatically deflect the ion beam; a second electrostatic lens, which is provided between the one or plurality of electrodes and a sample table, and is configured to focus the ion beam applied with a voltage; and a processing unit configured to obtain a measurement condition, and set at least one of voltages to be applied to the one or plurality of electrodes or a voltage to be applied to each of the first electrostatic lens and the second electrostatic lens, based on the obtained measurement condition.